在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4359|回复: 7

[求助] uvm中的run_test函数

[复制链接]
发表于 2015-11-8 10:37:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大大,uvm中的run_test函数是啥意思呀?
我跑库中的producer_consumer例子,原程序中含有run_test函数,仿真时间一直是零;去掉之后可以推动仿真时间,但是fifo没有被使用到了。
求助各位回答一下啦
发表于 2015-11-9 18:15:12 | 显示全部楼层
回复 1# ustccrz


    我也是才开始学,run_test是开始运行测试案例,如果脚本运行 会有一个 UVM_TESTNAME 定义指定一个案例,如果直接进入工具交互操作,这个函数可以带一个参数,想要运行的case的名字
发表于 2015-11-10 15:53:26 | 显示全部楼层
估计这个例子没有消耗时间吧。
发表于 2016-1-23 21:54:00 | 显示全部楼层
我只能说我是这么用的,在top module中:
initial begin
        run_test();
end
以后在命令行中添加testcase,比如是reg_read.sv,添加方式是:
+UVM_TESTNAME=reg_read
就可以了。
发表于 2016-1-23 22:37:03 | 显示全部楼层



这样说会有误解,你的回复隐含了“teset case class的名字与文件名同名”这一条件,但是你没有说出来。通俗的说,run_test("xxx")或者+UVM_TESTNAME=xxx,这个xxx指的是class xxx extends uvm_test; 且必须与`uvm_component_utils(xxx)一致
发表于 2016-1-24 16:35:15 | 显示全部楼层
回复 5# seabeam


   是的,你分析的很对。另外我也是初学,遇到一些问题,下面链接我的问题,你帮我看一下吧。
http://bbs.eetop.cn/thread-590282-1-1.html
谢谢!
 楼主| 发表于 2016-1-25 09:11:40 | 显示全部楼层
恩恩,是的,谢谢啦~回复 4# xdhuch
 楼主| 发表于 2016-1-25 09:12:18 | 显示全部楼层
恩恩,谢谢~回复 5# seabeam
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 15:54 , Processed in 0.018914 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表