在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1860|回复: 2

[求助] vcs class对象new

[复制链接]
发表于 2015-6-16 16:39:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
class rgb_pixel extends uvm_sequence_item;
    `uvm_object_utils(rgb_pixel)
    rand bit [7:0] red;
    rand bit [7:0] green;
    rand bit [7:0] blue;
    function new(string name="rgb_pixel");
        super.new(name);
    endfunction
endclass

class rgb_seq_item extends uvm_sequence_item;
    `uvm_object_utils(rgb_seq_item)
    rand bit [8:0] res_width;
    rand bit [8:0] res_height;
    rgb_pixel       m_rgb_pixel[];

    ...
endclass

...
rgb_sequence_item rgb_pkt;
rgb_pkt.m_rgb_pixel = new[rgb_pkt.res_width * rgb_pkt.res_height];

这个new[]好像没成功,单步调试查看value是empty,类型是class[]

同样的代码在NC里仿真正常,但VCS里不通过。求助
 楼主| 发表于 2015-6-16 17:46:35 | 显示全部楼层
回复 1# chenfengrugao


    写个小例子:

    当res_width和res_height数值较小时,比如40,5时,仿真没问题。
    当res_width和res_height数值较大时,比如100,100时,仿真出错,new[]不会起作用,rgb_pkt.m_rgb_pixel的值为empty
    不知道是不是VCS的bug,还是VCS有什么限制?
2.png
1.png
发表于 2015-6-17 12:36:25 | 显示全部楼层
应该没什么问题,不放心的话可以把rgb_pkt.m_rgb_pixel[n]每次赋值前new一下试试
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 10:30 , Processed in 0.017295 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表