在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7313|回复: 7

[求助] 在UVM中,为何每个class定义里面都有一个new函数?这个函数的作用

[复制链接]
发表于 2014-12-8 17:10:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[求助] 为何每个class定义里面都有一个new函数?这个函数的作用UVM

class simple_test extends uvm_test;
    function new (string name, uvm_component parent);
      super.new(name, parent);
    endfunction : new
................................
end class;

本人菜鸟,刚学习UVM,请指教,多谢
 楼主| 发表于 2014-12-8 17:28:07 | 显示全部楼层
simple_test   a ;
a = new(); //这个new()就是class里面定义的new()函数吧?
发表于 2014-12-17 23:05:01 | 显示全部楼层
你有没有试过
class test;
endclass

test inst;
inst = new;

是不是觉得class里没有new函数也可以?
发表于 2016-6-14 15:43:56 | 显示全部楼层
想请教下楼主有答案了吗,这里现在也初学uvm遇到这个困惑
发表于 2016-6-14 16:12:14 | 显示全部楼层
回复 1# mil818


    类的new函数与动态数组的new[]类似,都是同样的功能,分配内存,以及初始化变量,一般叫这个new函数为构造函数。
    对比verilog
    module a  .... endmodule
    module b
         .....
         a u_a;
     endmodule  完成了 a 的实例化

    class  a .... function new()..... endclass
    class b
    ....
     a a_t;
     a_t = new()
     ....
     endclass
发表于 2016-11-10 17:28:44 | 显示全部楼层
回复 4# biubiu233


   因为基类中有构造函数,所以继承类中必须要有这个构造函数。最近我也在学习UVM,期望能多交流交流。
发表于 2016-11-14 14:30:55 | 显示全部楼层
uvm一般都用factory机制,来调用这些new函数,自动实例化;如果没记错的话,new函数里有两个参数,一个是name,一个是parent; 用factory的话,会自动建一个tree。
发表于 2021-5-12 21:42:37 | 显示全部楼层
new在继承类中用于分配内存和初始化变量,调用new实际上是在为该对象申请一个内存块来保存对象的变量,UVM_component用new来声明自己结点和父类的名称,用来辅助构建UVM树。uvm_object派生的类在new时无需声明父类名称,只需明白create和new的异同即可,create是new的进阶。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-23 01:29 , Processed in 0.022907 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表