在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3713|回复: 4

[求助] uvm_driver如何对模块内部信号强制赋值

[复制链接]
发表于 2014-12-5 17:15:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教个问题,在uvm_driver的任务中将某个模块内部信号强制赋值,该如何用SV描述?之前的做法是:该信号A由interface引出,然后在driver内通过"force vif.A =0; ...; release vif.A; "强赋值,仿真结果报错" Illegal force/release of a net or variable through a virtual interface select [SystemVerilog]"。
发表于 2014-12-5 17:51:36 | 显示全部楼层
只能再inf做间接处理。
发表于 2014-12-5 19:02:12 | 显示全部楼层
学习一下。。。。。。。。。。。
 楼主| 发表于 2014-12-8 12:32:44 | 显示全部楼层
谢谢A1985!
后来通过在验证顶层另加输入端口,通过该端口的激励赋值来达到该目的。
发表于 2014-12-9 10:19:15 | 显示全部楼层
不可以直接force interface 的信号, 可以把interface的信号force 给你需要赋值的信号。

这样你就可以通过interface 来控制你要force的信号了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 05:42 , Processed in 0.018235 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表