在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3420|回复: 4

[求助] 如何用C语言写verilog的testbench的输入激励?

[复制链接]
发表于 2014-12-1 15:50:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
如题!最近写了一个NoC的路由器(单个功能验证没问题),搭建了一个2D-Mesh架构的16核的系统,就是用一个顶层模块调用16个NoC路由器搭建起来(连线连得眼睛都花了)。接下来要做的是需要分析这个2D-Mesh结构的性能,网络吞吐率,注入速率与平均延迟等等性能。可是写testbench时发现一个问题。就是要写很长很长的一段代码,随着注入速率的变化,每次都得把所有的输入再次修改一遍,这个就蛋疼了。看网友说有种方式可以用C写testbench的激励信号,然后再用testbench读取,有没有前辈和大神指点指点!
发表于 2014-12-1 16:59:29 | 显示全部楼层
上验证方法学,性能统计,通常这个还得专门EDA 性能工具来做。这种NOC。。。
发表于 2014-12-1 19:31:55 | 显示全部楼层
通过PLI 接口实现
发表于 2014-12-2 13:24:39 | 显示全部楼层
回复 1# 北城以南


    从你的文字描述上来说,应该是用C写的个vector的中间文件(可以是txt格式),再由verilog把这个vector读进去、再译码执行。
    如果一定要用C语言的话,你也可以用PLI/DPI接口调用C语言来加激励。

    不过我觉得verilog/systemverilog完全能做这些事,关键在于怎么把激励分层次,用task调用task的方式,再加上变量的参数化,应该可以满足你的要求了。当然如果用UVM等方法学的思路去做就更好了。
发表于 2014-12-5 19:24:14 | 显示全部楼层
学习一下。。。。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 05:29 , Processed in 0.022006 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表