在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2453|回复: 8

[求助] 有关UVM宏的问题

[复制链接]
发表于 2014-11-10 16:08:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近刚开始看uvm,看到一些资料里面会出现类似`uvm_component_utils `uvm_do 这样的语法,我想问这些宏定义是否是UVM库里面以后定义好的,有特定的作用的,在我们写代码中类似调用函数一样调用的东西?谢谢
发表于 2014-11-10 16:24:49 | 显示全部楼层
uvm 源代码里都有。

`uvm_component_utils 经常用到,`uvm_do等不建议用,请参考mentor的cookbook。
发表于 2014-11-12 09:52:42 | 显示全部楼层
都是预定义好的,UVM官方的class ref上有关于对他定义好的宏的介绍
 楼主| 发表于 2014-11-13 12:47:05 | 显示全部楼层
回复 3# seabeam


  谢谢指点
 楼主| 发表于 2014-11-13 14:28:18 | 显示全部楼层
回复 2# stephen_0921


   谢谢指点,你的英文名和我的英文名一样,0921是你的生日吗
发表于 2014-11-17 15:29:58 | 显示全部楼层



一般之所以不常用uvm_do,是因为uvm_do = uvm_create + randomize() + uvm_send();
这个宏将所有的事情都集合在一起完成了,而这个有时候不能很好的配置我们的transaction。
因此,可能在sequence的task body中,更常用的是start_item(tran, -1, this.m_sequencer)这种吧
 楼主| 发表于 2014-11-17 16:18:44 | 显示全部楼层
回复 6# vincentatpku


   谢谢指点
发表于 2014-11-17 17:29:48 | 显示全部楼层


一般之所以不常用uvm_do,是因为uvm_do = uvm_create + randomize() + uvm_send();
这个宏将所有的事情 ...
vincentatpku 发表于 2014-11-17 15:29




   敢问你们是怎么用的?  uvm_do中间貌似没有assert动作。
发表于 2014-12-5 20:55:53 | 显示全部楼层
学习一下。。。。。。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 05:37 , Processed in 0.025401 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表