在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4353|回复: 4

[求助] 求解答:$dumpfile (xxx.vcd) 无法产生VCD文件

[复制链接]
发表于 2013-9-23 14:39:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在tb上加入了
initial
begin
    $dumpflie ("test.vcd");
    $dumpvars;
end

但是vcs综合完了之后没有产生任何vcd文件,为什么?请大家指教。不胜感激
发表于 2013-9-24 13:38:54 | 显示全部楼层
$dumpvars;需要指定变量
 楼主| 发表于 2013-9-25 15:52:53 | 显示全部楼层
回复 2# zhuyi1234567899


    不指定的话就是默认全部信号的。这个问题已经解决了 是因为我没有执行simv文件 执行了就出现VCD了 ,谢谢哈。
发表于 2016-7-14 14:43:11 | 显示全部楼层
执行simv文件是什么意思?加上之后有test.vcd文件显示,但没有数据。
发表于 2016-7-14 16:02:27 | 显示全部楼层
vcs  -debug_all  -o my_simv

simv
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 18:37 , Processed in 0.021274 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表