在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4775|回复: 5

[求助] 求助:如何将uvm_reg的sequence设置为default sequence呀?

[复制链接]
发表于 2012-8-7 10:07:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
     正在学习UVM_RGM的用法。写了一个sequence来对uvm_mem进行操作,但是就是无法跑起来,最后没办法,将sequence中的内容直接写在test中,终于能正确跑出来了。说明是我在指定default sequence的时候出错了。该怎么在test中指定呢,另外,在我写的这个sequence中,因为要对regmodel进行操作,所以必须要例化一个regmodel,我好像对如何将seq.regmodel与tb_env中已经create的regmodel关联起来有点晕忽忽的(用$cast(regmodel,this.regmodel)不正确)。恩,好像说的比较乱,简而言之,就是不知道该sequence应该处于整个环境的哪个位置,也不知道如何启动它。有没有人知道的,可以给点指导呀?谢谢了!
发表于 2013-7-16 11:30:51 | 显示全部楼层
对于regmodel的传递问题,我也确实没有在UVM的文档中找到相应说明。我尝试通过sequencer传递,是可以的,但感觉不是最佳方式。也希望能看到更多相关介绍
发表于 2014-5-20 20:07:53 | 显示全部楼层
reg_model 可以通过uvm_config_db传递
发表于 2014-5-23 16:15:04 | 显示全部楼层
本帖最后由 stephen_0921 于 2014-5-23 16:36 编辑

在test的run_phase里,指定调用了什么sequence,其sequencer是谁。
因为sequence不是component,它的结构性路径可以看作是和其对应的sequencer绑定的,不知道我说清楚没。






  1.   task run_phase(uvm_phase phase);
  2.     uart_incr_payload_seq seq = uart_incr_payload_seq::type_id::create("seq");
  3.     phase.raise_objection(this, "Starting uart_sequence_test");
  4.     seq.start(demo_tb0.uart0.Tx.sequencer);  
  5.     phase.drop_objection(this, "Finishing uart_sequence_test");endtask
  6.    


复制代码



建议看一下,mentor uvm cookbook,那里讲的比较详细。register layer部分确实比较乱一点,这两天我看了2遍了。
发表于 2014-6-4 00:30:02 | 显示全部楼层
interested by uvm!
发表于 2018-12-2 16:47:21 | 显示全部楼层
在testcase里面用config_db配置default_sequence
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 09:50 , Processed in 0.023333 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表