在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2391|回复: 3

[解决] 求助,verilog与debussy问题~~~

[复制链接]
发表于 2012-5-23 09:20:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 clean_water 于 2012-5-23 12:37 编辑

out mem_wr;
reg wr;
assign #9 mem_wr = wr;

这个是testbench里面的一段描述,wr有专门的逻辑去产生,
然后我用vcs仿真了下,调用debussy查看波形,wr是由波形的,
但是mem_wr却一直都是x,不知道为什么,
光就这句话assign #9 mem_wr = wr;,既然wr是有波形的,那么mem_wr也应该有才对啊,想不明白~
求达人指点下~~

原来是项目经理没把最新的代码上传,导致我这边出错了,唉~~~
问题大概是多驱动的问题吧~~~代码没有及时上传~
发表于 2012-5-23 09:46:16 | 显示全部楼层
回复 1# clean_water


  是不是dump 波形没写对? 或是仿真器参数没设定好。
initial begin
        $fsdbDumpfile("xxx.fsdb");
        $fsdbDumpvars(0,your_top_testbench_name);
end
 楼主| 发表于 2012-5-23 10:00:10 | 显示全部楼层
回复 2# sinva


    这个是对的,刚才检查了,因为这个仿真模块我在一个项目中验证过了,是可以用的,仿真也是OK的,
但是我现在转移到另外一个项目中来了,所以就出了点这个问题,暂时还不知道什么原因。
发表于 2012-5-23 11:46:03 | 显示全部楼层
问题解决了么,如解决给写出来吧。同求
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-24 03:36 , Processed in 0.024727 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表