在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3298|回复: 3

[求助] 求教:inout 端口的 pad 设置问题!

[复制链接]
发表于 2011-6-27 11:35:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位好!

加入 pad 时,可以用 脚本 文件进行综合,或者手动写 pad 的设置文件。

现遇到 inout 三态端口,如何进行 pad 的设置?因工艺 IO 库中没有看到有 inout 类型的 pad。

求教,多谢!

sevid
发表于 2011-6-27 20:58:06 | 显示全部楼层
IO库中应该有inout pad,你仔细看看,这是常用的pad
 楼主| 发表于 2011-6-28 19:47:03 | 显示全部楼层
在DC中,使用“report_lib **”命令后得到:

Components:
    Attributes:
       af - active falling
       ah - active high
       al - active low
       ar - active rising
        b - black box (function unknown)
       ce - clock enable
       cg - clock gating integrated cell
        d - dont_touch
       mo - map_only
        p - preferred
        r - removable
        s - statetable
      sa0 - dont_fault stuck-at-0
      sa1 - dont_fault stuck-at-1
     sa01 - dont_fault both stuck-at-0 and stuck-at-1
       sz - use_for_size_only
        t - test cell. t(scan_type) as
          t(mux_ff) - muxed flip-flop
          t(mux_ld) - muxed latch
          t(clk_scan) - clocked scan
          t(clk_scan_ld) - clocked scan latch
          t(lssd_dld) - double latch LSSD
          t(lssd_sld) - single latch LSSD
          t(lssd_clk) - clocked LSSD
          t(lssd_auxclk) - auxiliary clock LSSD
        u - dont_use
      udp - usable for datapath generators

   Cell                Footprint    Attributes
   -------------------------------------------
   pc3d00              "pc3d_3426"      b, pad
   pc3d01              "pc3d_3426"      pad
   pc3d01d             "pc3d_3426"      pad
   pc3d01u             "pc3d_3426"      pad
   pc3d10              "pc3d_3426"      b, pad
   pc3d11              "pc3d_3426"      pad
   pc3d11d             "pc3d_3426"      pad
   pc3d11u             "pc3d_3426"      pad
   pc3o02              "pc3o_3426"      pad
......

这里面没有 inout 类型吧?
求教,多谢斑竹!

sevid
发表于 2011-7-9 13:05:08 | 显示全部楼层
顶~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 15:01 , Processed in 0.016319 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表