在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2359|回复: 0

[求助] 菜鸟求助:systemc实现1/4占空比分频

[复制链接]
发表于 2010-12-17 14:10:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
编译过程老是出错,我都不知道怎么回事,有没有好心人来帮忙看看?如下:
//fdiv.h文件
#ifndef _DIV_H
#define _DIV_H

#include "systemc.h"

SC_MODULE(fdiv){
    sc_in<bool> clk_in;
    sc_out<bool> clk_out;
    sc_signal<bool> fdiv_tmp;

    void do_fdiv(){
        fdiv_tmp = !fdiv_tmp;
    };

    void gen_out(){
        clk_out=(clk_in&fdiv_tmp);
    };

    SC_CTOR(fdiv){
        fdiv_tmp=0;
        SC_METHOD(do_fdiv);
        sensitive_pos<<clk_in;
        SC_METHOD(gen_out);
        sensitive<<clk_in<<fdiv_tmp;
    }

};
#endif
//fdiv.cpp文件
#include <systemc.h>
#include "fdiv.h"

int sc_mian(int,char**){
    sc_clock clk_s("clk_source",20,SC_NS);
    sc_signal<bool>clk_out;

    fdiv fdiv1("fdiv1");
    fdiv1.clk_in(clk_s);
    fdiv1.clk_out(clk_out);

    sc_trace_file *tf;
    tf=sc_create_vcd_trace_file("fdiv");
    sc_trace(tf,clk_s,"clock_source");
    sc_trace(tf,clk_out,"clk_div");
    sc_start(200);
    sc_close_vcd_trace_file(tf);
    return 0;
}
//出错信息
error C2678: binary '!' : no operator defined which takes a left-hand operand of type 'class sc_core::sc_signal<bool>' (or there is no acceptable conversion)
//修改状态及其出错信息
将fdif.h中        fdiv_tmp = !fdiv_tmp; 修改为fdiv_tmp = ~fdiv_tmp;  或者  fdiv_tmp.write( ~fdiv_tmp.read());
SystemC.lib(sc_main_main.obj) : error LNK2001: unresolved external symbol _sc_main
Debug/fdiv.exe : fatal error LNK1120: 1 unresolved externals

有没有人来指点一下呀?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 08:31 , Processed in 0.016305 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表