|
发表于 2003-12-25 18:22:56
|
显示全部楼层
请教各位高手问题:我在MAX+PLUSII中用VHDL描述计数器时遇到的
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY count12en IS
PORT(clr,clk,en :IN std_logic;
qa,qb,qc,qd :OUT std_logic);
END count12en;
ARCHITECTURE rtl OF count12en IS
SIGNAL reg:std_logic_vector(3 downto 0);
BEGIN
PROCESS(clk,clr,en)
BEGIN
IF clr='0' THEN
reg<="0000";
ELSIF clk'event and clk='1' THEN
IF en='1' THEN
IF reg="1011" THEN
reg<="0000";
else
reg<=reg + 1 ;
END IF;
END IF;
END IF;
qa<=reg(0);
qb<=reg(1);
qc<=reg(2);
qd<=reg(3);
END PROCESS;
这样就可以了 |
|