在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 1387|回复: 0

[招聘] 北京AI研发公司芯片设计,asic算法,数字实现,全定制职位招聘

[复制链接]
发表于 2018-7-6 18:04:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

北京AI研发公司芯片设计,asic算法,数字实现,全定制职位招聘。有兴趣联系,电话和微信18163979512,邮箱daisy.yang@hibohr.com


职位名称 :芯片设计   

岗位职责

1. Participate in RISCV or Deep Learning Accelerator or other SOC IP design for all frontend phase

2. Specification define

3. RTL implementation

4. Analysis and optimization for performance

5. Analysis and optimization for power

6. Analysis and optimization for timing

7. Design flow: lint/synthesis/sta/formal check

8. Silicon debugging

任职条件

1. MS with 5+ or 3+ years of experience in ASIC design

2. Experience with RISC cpu (RISCV/mips/arm) related IPs design are highly desirable

3. Experience with USB/MIPI_CSI/MIPI_DSI or other high speed interface IPs design are highly desirable

4. Experience with Deep Learning Accelerator related IPs design are highly desirable

5. Experience with all phases of frontend architecture, design and validation

6. RTL Coding, design reviews, SYN, CDC, FEV

7. Demonstrated work experience with timing analysis, area and power optimizations, performance analysis, debug ability, ECOs, and post-silicon debug

8. Excellent knowledge of verilog and popular EDA simulation & implementation tools

9. Good experience in scripting languages like Perl, Unix shell or similar languages


ASIC算法工程师  北京

职位概述

岗位职责 1、对所用到的算法进行建模,在芯片实现之前评估最终能实现的性能/功耗等指标;

2、根据系统性能功耗和成本要求,优化架构设计;

3、解决芯片设计实现过程中的技术问题,确保关键规格的达成;

4、负责芯片核心算法的RTL实现及ASIC前端的设计工作。

任职条件 1、 微电子集成电路专业,或计算机通讯等相关专业,硕士及以上学历;

2、 能够熟练使用C语言,或者matlab进行算法建模;

3、 扎实的数字电路设计基础,精通verilog语言。能够将复杂算法映射成RTL

4、 对安全加解密算法,数字签名算法有深入研究的优先;

5、 有ASIC前端开发经验的优先;

6、 有software/Firmware/算法开发经验者优先。





Digital Implementation Engineer

职位概述

岗位职责 •
Responsible for digital logic synthesis, STA, formal verification, DFT, power analysis, RTL design quality checking.

•
Responsible to develop timing constraint and low power design constraint

•
Responsible to co-work with physical design team for timing closure

•
Responsible to optimize digital frontend flow qualification

•
Responsible to develop signoff methodology for standard PVT and non-standard PVT.

•
Responsible to block level digital implementation.

任职条件 •
Major in CS, EE or related, MSEE required

•
2+ years working experience is preferred.

•
Deep understanding of timing signoff flow.

•
Good knowledge of digital logic design, synthesis, formal verification, etc.

•
Good experience with Design compiler, Prime time and Formality/Conformal LEC, spyglass/0in.

•
Experience of DFT/MBIST is a plus.

•
Hands-on experience in full-chip/block level, place and route, floor planning, power and clock optimization is a plus.

•
Implementation experience on 28nm or above process node is plus.

•
Familiar with common UNIX utility such as Shell, Perl, TCL, good scripting ability.

•
Good English communication skills.

•
Good initiative and motivation in a challenging environment.


全定制芯片设计工程师

职位概述

岗位职责

High performance, low power, small area custom digital circuit design for processors·

Circuit architecting, simulation and characterization of custom design circuit.                           

1. Transistor level function verification.

2. Participating in building CAD flow for circuit design.

3. layout floor planning and supervision.

任职条件

1. BSEE minimum, MSEE preferredwith 1-5 years of working experience;

2. Strong background in deep submicron CMOS process and device.

3. Good knowledge in high speed digitalcircuit design techniques.

4. Experience in circuit simulation, schematic capture and layout verification CAD tools.

5. Must be a team player with effective written and verbal communication skills.

6. Quick learner and work independently.

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 15:25 , Processed in 0.020318 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表