在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1516|回复: 2

[求助] 请教一个verilog问题,谢谢!

[复制链接]
发表于 2017-2-18 00:05:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. `ifdef D3D_SYNC_RESET
  2. always @(posedge clk_core) begin
  3. `else
  4. always @(posedge clk_core or negedge rst_x) begin
  5. `endif
  6.   if (rst_x == `D3D_RESET_POL) begin
  7.     r_state <= IDLE;
  8.   end else begin
  9.     case (r_state)
  10.       IDLE: begin
  11.         if (i_en) r_state <= LINE_0;
  12.       end
  13.       LINE_0: begin
  14.         if (i | w_reject_l0) r_state <= LINE_1;
  15.       end
  16.       LINE_1: begin
  17.         if (i | w_reject_l1) r_state <= LINE_2;
  18.       end
  19.       LINE_2: begin
  20.         if (i | w_reject_l2) r_state <= IDLE;
  21.       end
  22.     endcase
  23.   end
  24. end


复制代码

请教下,这个里面的`ifdef,他是到`endif结束呢?还是把下面状态机运行完呢?假设D3D_SYNC_RESET已定义。谢谢!
发表于 2017-2-18 09:35:24 | 显示全部楼层
回复 1# qwer20


   ifdef与c语言中的含义一样,这里你假设D3D_SYNC_RESET已定义那么代码将变成
always @(posedge clk_core) begin
  if (rst_x == `D3D_RESET_POL) begin
    r_state <= IDLE;
  end else begin
    case (r_state)
      IDLE: begin
        if (i_en) r_state <= LINE_0;
      end
      LINE_0: begin
        if (i | w_reject_l0) r_state <= LINE_1;
      end
      LINE_1: begin
        if (i | w_reject_l1) r_state <= LINE_2;
      end
      LINE_2: begin
        if (i | w_reject_l2) r_state <= IDLE;
      end
    endcase
  end
end

而不是你想的状态机运不运行的问题,与这个无关
 楼主| 发表于 2017-2-18 19:09:03 | 显示全部楼层
回复 2# woai2020

懂了,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 22:50 , Processed in 0.017660 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表