在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2212|回复: 1

[求助] ise综合问题

[复制链接]
发表于 2013-7-21 13:53:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在rtl代码中,例化了DCM_SP和ODDR2两个ise的库文件,在用ise综合时,没有添加这两个库文件,报错如下

ERROR:NgdBuild:604 - logical block ‘1/ODDR2_inst' with type
   'ODDR2' could not be resolved. A pin name misspelling can cause this, a
   missing edif or ngc file, case mismatch between the block name and the edif
   or ngc file name, or the misspelling of a type name. Symbol 'ODDR2' is not
   supported in target 'virtex6'.

NgdBuild:604 - logical block '1/DCM_SP_inst' with type   'DCM_SP' could not be resolved. A pin name misspelling can cause this, a
   missing edif or ngc file, case mismatch between the block name and the edif
   or ngc file name, or the misspelling of a type name. Symbol 'DCM_SP' is not
   supported in target 'virtex6'.




应如何解决?
发表于 2013-7-24 07:27:26 | 显示全部楼层
generate IPs with Coregen and butr *.ngc files into the design directory.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 07:26 , Processed in 0.022343 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表