在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1616|回复: 5

[求助] 关于同步多时钟网络的综合

[复制链接]
发表于 2015-3-27 14:39:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下面这种情况该在后端应该如何处理?
同步多时钟网络.jpg
如图,clk_div2,clk_div4皆由clk分频出来,由此形成三个clock group(clk_div4,clk_div2,clk),且时钟频率依次加倍。
问题在于三个clock group之间存在数据的传递,在这种情况下该如何约束时序呢?
我所知的前面一些方法如下:
create_clock -name clk -period 2 [get_ports clk]
set_ideal_network [get_nets clk]
set_dont_touch [get_clocks clk]

create_generated_clock -name clk_div2 -divide_by 2 -source [get_clocks clk] [get_pins i_clk_div/clk_div2]
create_generated_clock -name clk_div4 -divide_by 4 -source [get_clocks clk] [get_pins i_clk_div/clk_div4]
这样做之后,还存在一个问题就是两个时钟域之间的路径还没有得到约束,那该如何解决呢?
发表于 2015-3-27 16:23:08 | 显示全部楼层
就是同源clock之间啊, 确实是real path,

不用特别约束吧,   后端balance clock latency了后,就像是一个domain的一样了,
 楼主| 发表于 2015-3-27 17:02:37 | 显示全部楼层
回复 2# icfbicfb


   但实际上我检查过时序报告,该路径确实是没有约束的。后端做时钟树之后也是没有时序约束的吧?  另外,我这个问题是简化出来的,实际上还要考虑另外一个问题,在我的另一个帖子中,希望也帮忙解答:时钟又作为数据输入
发表于 2015-3-28 20:04:43 | 显示全部楼层
report_timing -from xxx -to xxx  是unconstrained path ?
 楼主| 发表于 2015-3-28 22:57:22 | 显示全部楼层
回复 4# icfbicfb


   是的,因为这是属于不同的clock group的,如果分开来综合的话倒是有两种方法处理,但是不够准确:1. 首先设置一个与前一个时钟相同频率的虚拟时钟,然后在用这个虚拟时钟去约束第二个模块的输入延迟,这样可以约束到该路径;
2. 直接约束该路径的组合逻辑延迟,但这样对于多bit的来说显得复杂,而且也没有考虑进去时钟的非理想性。
发表于 2015-4-1 22:39:30 | 显示全部楼层
用多周期路径约束比较好,这三个时钟是同一个时钟源,切相位固定。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 04:50 , Processed in 0.029327 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表