在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3267|回复: 77

《Cadence Virtuoso 使用手册》预热

[复制链接]
发表于 2024-2-10 06:44:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 fatcat1205 于 2024-2-10 06:46 编辑

大家好。我是《模拟集成电路设计与仿真》一书的作者 王忆。我现在在准备出版一本关于Cadence virtuoso IC618/ICADV20.1的工具书。书名暂定为《Cadence Virtuoso使用手册》。该书已经接近完本,现在在和出版社联系,预计在2024年下半年能面市。希望这本书能为大家对Cadence Virtuoso的软件提供帮助。


这里开贴,一方面是为新书做宣传。另一方面也是收集大家提出的宝贵意见。对于大家提出,但书中还没有覆盖问题,我将尽力解答。如果没有能在新书出版前加入,将会作为以后改版时优先添加的内容。下面是本书的简介和目录。附件里面新书的一个样张。便于大家了解该书的行文方式。

简  介
本书是一本面向工程师的,以介绍Cadence Virtuoso软件为核心内容的工具书。围绕着模拟仿真软件ADEAssembler/Explorer展开。这里的ADE AssemblerADE XL的后继者,在继承了ADE XL的界面和主要功能。对ADEAssembler的介绍,绝大多数也都适用于ADE XL。本书以快速学习套件(RAKRapid Adoption Kit)和故障排查手册(Troubleshooting)为主要方式,便于读者快速获取信息。该书所使用的版本号为:ICADV20 IC618)。
其中第一、二、三和七章节以RAK的方式,介绍了ADE Assembler中基础和进阶的电路仿真方法,以及其它的实用性电路分析工具:例如EMIR分析、灵敏度分析和可靠性分析等等。
第四、五、六、八和九章节,则以故障排查手册的方式指出Virtuoso ADE中可能碰到的设置问题,以及如何更高效的进行仿真结果分析。并介绍了HistoryRunPlanADE中性的功能,Cadence中电路设计的数据结构,以及在电路层级编辑器(Config)中常见的问题。最后涉及了SchematicEditor SymbolEditor的操作,以及它们和CDF参数之间的关系。
Virtuoso软件使用的基础上,在第十章节阐述了verilog-A语言,以及如何使用它进行电路建模,和建模中常出现的问题。
在最后的第十一章中介绍了Cadence Virtuoso中使用的编程语言SKILL。涉及SKILL的基础操作,Virtuoso中的数据结构,并通过实例给出如何通过SKILL语言来编辑脚本,快速获取电路信息,或者进行批处理。
附注:第十和十一章的内容还在编撰中,目录会发生变化。(受帖子长度的限制,第十,十一章节的目录见样张)


  



Cadence Virtuoso 使用手册(样张).pdf

4.2 MB, 下载次数: 435 , 下载积分: 资产 -3 信元, 下载支出 3 信元

新书样张

 楼主| 发表于 2024-2-10 06:46:49 | 显示全部楼层
本帖最后由 fatcat1205 于 2024-2-10 06:59 编辑

占第二楼用来更新出版状态:
出版立项申请==》审理中




补充内容 (2024-4-9 15:07):
本书已经在出版社立项成功,书名修改为:模拟集成电路仿真与实用性电路分析——基于Cadence® Virtuoso®  IC 6.1.8
 楼主| 发表于 2024-2-10 06:58:49 | 显示全部楼层
占第三楼用来统计改版中将要添加的内容
PSS, PAC, PSTB, PNOISE 仿真分析
发表于 2024-2-10 16:29:33 | 显示全部楼层
必须顶
发表于 2024-2-10 19:46:17 | 显示全部楼层
期待期待!
发表于 2024-2-10 21:34:34 | 显示全部楼层
期待!!!
发表于 2024-2-10 21:45:45 | 显示全部楼层
期待。
发表于 2024-2-10 21:49:08 | 显示全部楼层
期待,支持一波
发表于 2024-2-11 03:21:05 | 显示全部楼层
111111支持
发表于 2024-2-11 10:00:28 | 显示全部楼层
Thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 19:20 , Processed in 0.083442 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表