在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6023|回复: 12

[求助] 关于innovus place问题

[复制链接]
发表于 2020-12-31 08:48:54 | 显示全部楼层 |阅读模式
50资产
现在一个项目,铝层较少,但给数字的面积较大,现在我执行placeDesign后,数字只place在一个较小的区域了(如下图),而place在这个小区域呢,route又布不通。

请教各位大大,有没有办法让数字单元能place的稀松一些,尽量布置到所有的数字区域?


图片.png

最佳答案

查看完整内容

我也遇到过这个问题 调用了这几个命令后好了很多 setPlacemode -uniformDensity true setPlacemode -maxDensity setPlaceMode -congeffort high
发表于 2020-12-31 08:48:55 | 显示全部楼层
我也遇到过这个问题 调用了这几个命令后好了很多
setPlacemode -uniformDensity true
setPlacemode -maxDensity
setPlaceMode -congeffort high
发表于 2020-12-31 10:20:37 | 显示全部楼层
一般我用3个方法:
1. 这个区域打placement blockage改成partial ,百分比嘛你设设看,默认是50. 想松散些我看你设30试试。
2. 给cell 设padding。specifyCellPad * 4  .
3. 给你这块module 设padding. setPlaceMode -modulePadding * 1.4  。 默认是1.0 . 1.2/1.3/1.4 你自己试试看
发表于 2021-1-4 13:29:01 | 显示全部楼层
先找原因?比如横纵反过来等
 楼主| 发表于 2021-1-4 15:27:24 | 显示全部楼层


Galileo_Galilei 发表于 2020-12-31 10:33
我也遇到过这个问题 调用了这几个命令后好了很多
setPlacemode -uniformDensity true
setPlacemode -maxDen ...


setPlaceMode -place_global_max_density 这个命令就可以了。

发表于 2021-1-25 09:29:08 | 显示全部楼层
解决了吗?是几层铝线?
发表于 2022-3-3 15:00:47 | 显示全部楼层


cocoonqqq 发表于 2020-12-31 10:20
一般我用3个方法:
1. 这个区域打placement blockage改成partial ,百分比嘛你设设看,默认是50. 想松散些 ...


打placement blockage的方式,是设置好百分比,选中一个区域再做ecoPlace吗?
发表于 2022-3-4 14:13:40 | 显示全部楼层


cocoonqqq 发表于 2020-12-31 10:20
一般我用3个方法:
1. 这个区域打placement blockage改成partial ,百分比嘛你设设看,默认是50. 想松散些 ...


想请教下前辈,如果在place后,我看到core区域有些地方的cell density比较高,在FP不变的情况下,我就在那个位置打partical,工具就会做的很松?
发表于 2022-9-13 17:10:58 | 显示全部楼层


xingyun666666 发表于 2022-3-4 14:13
想请教下前辈,如果在place后,我看到core区域有些地方的cell density比较高,在FP不变的情况下,我就在 ...


请问place之后怎么看density呀?
发表于 2022-9-14 16:34:01 | 显示全部楼层


Si2O6 发表于 2022-9-13 17:10
请问place之后怎么看density呀?




可以用checkPlace命令看

欢迎加wx: pr-hao123,拉你进数字后端群
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 22:18 , Processed in 0.028835 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表