在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1528|回复: 4

[求助] vcs仿真 报module超出限制错误

[复制链接]
发表于 2020-7-28 09:44:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
由于dut pin太多,工具自动生成的testbench module太大导致超出了vcs的限制。请问有无选项设置超出vcs的限制?
批注 2020-07-28 094055.jpg
发表于 2020-7-29 17:27:28 | 显示全部楼层
提示不是说MEM太大吗?
 楼主| 发表于 2020-7-30 19:21:28 | 显示全部楼层


gaurson 发表于 2020-7-29 17:27
提示不是说MEM太大吗?


有什么选项改变这个max限制吗?不想改代码了
发表于 2020-7-31 17:50:53 | 显示全部楼层
。。。。。。代码风格不好,导致内存暴涨,你不改代码。。。。。。那我也没办法了。
 楼主| 发表于 2020-8-1 10:39:51 | 显示全部楼层


gaurson 发表于 2020-7-31 17:50
。。。。。。代码风格不好,导致内存暴涨,你不改代码。。。。。。那我也没办法了。 ...


不管怎么样还是感谢你
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 19:31 , Processed in 0.025908 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表