在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2174|回复: 2

[讨论] uvm1.2中default_sequence问题

[复制链接]
发表于 2020-7-2 23:13:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
function void uvm_sequencer_base::build();
  int dummy;
  super.build();
  `ifndef UVM_NO_DEPRECATED
  // deprecated parameters for sequencer. Use uvm_sequence_library class
  // for sequence library functionality.
  if (uvm_config_string::get(this, "", "default_sequence", default_sequence)) begin
    `uvm_warning("UVM_DEPRECATED",{"default_sequence config parameter is deprecated and not ",
                 "part of the UVM standard. See documentation for uvm_sequencer_base::start_phase_sequence()."})
    this.m_default_seq_set = 1;
  end
...
endfunction


uvm1.2中uvm_sequencer_base.svh中看到如上代码,什么意思?是不是我们常用的如下设置default_sequence的方法不能用了吗?
virtual function void build_phase(uvm_phase phase);
      super.build_phase(phase);
      uvm_config_db#(uvm_object_wrapper)::set(this,
                                              "i_agt.sqr.main_phase",
                                              "default_sequence",
                                               my_sequence::type_id::get());

endfunction


发表于 2020-7-3 12:20:55 | 显示全部楼层
过时了~~未来会被删除~
 楼主| 发表于 2020-7-3 22:40:13 | 显示全部楼层


A1985 发表于 2020-7-3 12:20
过时了~~未来会被删除~


uvm1.2推荐的方法是怎么样的?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 10:41 , Processed in 0.017856 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表