在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2145|回复: 4

[原创] FPGA里做减法运算,需要转换为补码吗?

[复制链接]
发表于 2020-3-17 05:16:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
比如 x0 - x1  ,直接用减法运算符x0 - x1就行,还是用x0 + x1补码 去做 ?

发表于 2020-3-17 07:05:30 | 显示全部楼层
本帖最后由 zhangli542 于 2020-3-17 07:08 编辑

不用,直接将x0、x1和y定义成reg signed类型,verilog基本语法没学好。
发表于 2020-3-17 07:55:34 | 显示全部楼层
如果你同时间会用同一组逻辑作加法器的话,直接使用补码也有好处,因为只需要透过补码和进位的特性,就可以完成加减法器,来节省逻辑
发表于 2020-3-17 09:37:19 | 显示全部楼层
x0-x1或者x0+(~x1+1)都可以,应该逻辑综合都一样。例化现成IP的话,看好IO端口定义即可
 楼主| 发表于 2020-3-22 11:34:43 | 显示全部楼层
感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 08:34 , Processed in 0.020455 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表