在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1608|回复: 6

[求助] verilog读文件

[复制链接]
发表于 2020-1-15 22:11:35 来自手机 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog怎么循环读类似的文件名?
发表于 2020-1-16 00:30:54 | 显示全部楼层
打开文件时,文件句使用字符串和数字拼接应该可以实现,可以多试试;
现在一般都用SV为验证了,怎么还用Verilog语法。
发表于 2020-1-16 04:20:42 | 显示全部楼层
for (int i = 0; i < file_count; i ++)  begin
string filename = $sformatf("filename_%0d", i);
read(finename) and do something;
end
发表于 2020-2-17 20:42:34 | 显示全部楼层
谢谢分享
发表于 2020-2-18 13:00:03 来自手机 | 显示全部楼层
integer fh_r;
发表于 2020-2-18 13:06:56 来自手机 | 显示全部楼层
int fh_r;for(int i=0, i<5; i++) begin     fh_r=fopen($sformatf("file%0d",i),"r");    ...... fscanf,feof,fwrite啥啥啥的    fclose(fh_r);end
发表于 2020-2-18 13:08:10 来自手机 | 显示全部楼层
对齐回复之后,又不对齐了,看的真难受
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 10:56 , Processed in 0.025020 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表