在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2103|回复: 1

[讨论] SVA中具有clock gate 的$past 用法

[复制链接]
发表于 2019-8-2 11:49:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在SVA中具有一个结构$past,它能够得到信号在过去几个周期的值,并且还有一个带clock_gate功能的$past 用法。
现在我遇到了一个问题:
property p1;
    @(posedge clk) (a && b) |->
    ($past((c && d), 2, e) == 1'b1);
endproperty

a1: assert property (p1);
在未添加clock_gate功能时,断言能够成功,但是在添加clock_gate功能后,并且在clk上升沿 e 值为高,断言还是失败。经过调整 e 值为高的时间,需要提前很久,这个点的断言才能成功。请问这是为什么?
发表于 2019-8-2 16:06:20 | 显示全部楼层
“并且在clk上升沿 e 值为高” 这个时候 (a && b)  为高吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 12:48 , Processed in 0.016733 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表