在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1377|回复: 5

[求助] 跪求各位大神看下这个错误--_--

[复制链接]
发表于 2019-7-22 09:28:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[IC@IC example_templates (copy)]$ source runvcs
                         Chronologic VCS (TM)
            Version I-2014.03 -- Mon Jul 22 09:10:11 2019
               Copyright (c) 1991-2014 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.


Warning-[ACC_CLI_ON] ACC/CLI capabilities enabled
  ACC/CLI capabilities have been enabled for the entire design. For faster
  performance enable module specific capability in pli.tab file

Parsing design file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_version_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_message_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_phase_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_object_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_printer_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_tlm_defines.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_tlm_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_sequence_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_callback_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_reg_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/macros/uvm_deprecated_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_dpi.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_hdl.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_dpi.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_svcmd_dpi.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_dpi.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_regex.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/dpi/uvm_dpi.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_version.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_object_globals.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_misc.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_object.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_pool.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_queue.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_factory.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_registry.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_spell_chkr.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_resource.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/deprecated/uvm_resource_converter.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_resource_specializations.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_resource_db.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_config_db.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_printer.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_comparer.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_packer.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_recorder.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_event_callback.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_event.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_barrier.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_callback.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_callback.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_report_catcher.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_report_server.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_report_handler.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_report_object.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_transaction.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_phase.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_domain.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_bottomup_phase.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_topdown_phase.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_task_phase.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_common_phases.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_runtime_phases.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_component.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_root.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_component.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_objection.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_heartbeat.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_globals.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_cmdline_processor.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_ifs.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_sqr_ifs.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/base/uvm_port_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_imps.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_ports.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_exports.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_analysis_port.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_fifo_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_fifos.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm_req_rsp.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_sqr_connections.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm1/uvm_tlm.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_pair.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_policies.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_in_order_comparator.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_algorithmic_comparator.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_random_stimulus.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_subscriber.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_monitor.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_driver.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_push_driver.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_scoreboard.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_agent.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_env.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_test.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/comps/uvm_comps.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequence_item.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequencer_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequencer_analysis_fifo.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequencer_param_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequencer.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_push_sequencer.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequence_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequence.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequence_library.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_sequence_builtin.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/seq/uvm_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_defines.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_time.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_generic_payload.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_ifs.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_imps.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_ports.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_exports.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_sockets_base.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2_sockets.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/tlm2/uvm_tlm2.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_item.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_adapter.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_predictor.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_sequence.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_cbs.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_backdoor.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_field.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_vreg_field.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_indirect.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_fifo.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_file.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_mem_mam.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_vreg.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_mem.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_map.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_block.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_hw_reset_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_bit_bash_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_mem_walk_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_mem_access_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_access_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/snps_uvm_reg_bank.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/reg/uvm_reg_model.svh'.
Back to file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_pkg.sv'.
Parsing design file '../dut/dut.sv'
Parsing design file '../tb/toplevel/sv/toplevel_pkg.sv'
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Back to file '../tb/toplevel/sv/toplevel_pkg.sv'.
Parsing included file '../tb/toplevel/sv/toplevel_config.sv'.
Back to file '../tb/toplevel/sv/toplevel_pkg.sv'.
Parsing included file '../tb/toplevel/sv/toplevel_seq_lib.sv'.
Back to file '../tb/toplevel/sv/toplevel_pkg.sv'.
Parsing included file '../tb/toplevel/sv/toplevel_env.sv'.
Back to file '../tb/toplevel/sv/toplevel_pkg.sv'.
Parsing design file '../tb/toplevel_test/sv/toplevel_test_pkg.sv'
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Back to file '../tb/toplevel_test/sv/toplevel_test_pkg.sv'.
Parsing included file '../tb/toplevel_test/sv/toplevel_test.sv'.
Back to file '../tb/toplevel_test/sv/toplevel_test_pkg.sv'.
Parsing design file '../tb/toplevel_tb/sv/toplevel_th.sv'
Parsing design file '../tb/toplevel_tb/sv/toplevel_tb.sv'
Parsing included file '/opt/Synopsys/VCS2014/etc/uvm-1.1/uvm_macros.svh'.
Back to file '../tb/toplevel_tb/sv/toplevel_tb.sv'.
Top Level Modules:
       toplevel_tb
TimeScale is 1 ns / 1 ns
Starting vcs inline pass...
6 modules and 0 UDP read.
recompiling package vcs_paramclassrepository because:
    Generated file (1wra_1) not found, or not incremental.
recompiling package uvm_pkg because:
    Generated file (huK4_1) not found, or not incremental.
recompiling package toplevel_pkg because:
    Generated file (de2D_1) not found, or not incremental.
recompiling package toplevel_test_pkg because:
    Generated file (7DBZ_1) not found, or not incremental.
recompiling module toplevel_tb because:
    Generated file (Y1yT_1) not found, or not incremental.
All of 6 modules done
rm -f _csrc*.so linux_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
ld -m elf_i386 -shared -o .//../simv.daidir//_csrc1.so --whole-archive _vcsobj_1_1.a  --no-whole-archive
ld -m elf_i386 -shared -o .//../simv.daidir//_csrc0.so 5NrI_d.o 5NrIB_d.o SIM_l.o  
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv  -m32 -m32   -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  /opt/Synopsys/VCS2014/linux/lib/vpdlogstub.o uvm_dpi.o  _csrc1.so _csrc0.so    rmapats_mop.o rmapats.o rmar.o           /opt/Synopsys/VCS2014/linux/lib/libzerosoft_rt_stubs.so /opt/Synopsys/VCS2014/linux/lib/libvirsim.so /opt/Synopsys/VCS2014/linux/lib/librterrorinf.so /opt/Synopsys/VCS2014/linux/lib/libsnpsmalloc.so    /opt/Synopsys/VCS2014/linux/lib/libvcsnew.so /opt/Synopsys/VCS2014/linux/lib/libuclinative.so   -Wl,-whole-archive /opt/Synopsys/VCS2014/linux/lib/libvcsucli.so -Wl,-no-whole-archive       ./../simv.daidir/vc_hdrs.o  _vcs_pli_stub_.o   /opt/Synopsys/VCS2014/linux/lib/vcs_save_restore_new.o /opt/Synopsys/VCS2014/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl
_csrc1.so: undefined reference to `/project/sim/simv"'
collect2: ld returned 1 exit status
make: *** [product_timestamp] Error 1
Make exited with status 2
cpu time: 7.801 seconds to compile + .031 seconds to elab + .287 seconds to link
[IC@IC sim]$


 楼主| 发表于 2019-7-22 15:03:44 | 显示全部楼层
:'(
发表于 2019-7-22 15:49:25 | 显示全部楼层
将编译生成的文件simv之类,删除 ;

重新cmp , run

可能是编译选项更改后,之前生成的文件存在干扰
发表于 2019-7-23 08:53:50 来自手机 | 显示全部楼层
把那么多删了吧,只留几个编译过程和最后link生成simv处的log吧,太长了,刷手机刷半天都不到底。
发表于 2019-7-23 08:54:48 来自手机 | 显示全部楼层
把那么多删了吧,只留几个编译过程和最后link生成simv处的log吧,太长了,刷手机刷半天都不到底。
发表于 2020-4-15 10:06:46 | 显示全部楼层
谢谢,学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 22:06 , Processed in 0.022669 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表