在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1845|回复: 3

[求助] DC综合

[复制链接]
发表于 2019-5-24 16:40:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DC综合完,-->report_timingPath Groupnone)

Startpoint和endpoint也不对。
(Path is unconstrained)

是code出问题了还是约束出问题了?
发表于 2019-5-24 17:13:43 | 显示全部楼层
可以先用:
check_design
check_timing

指令看看是否有异常。

回答完,推荐一个不错的数字设计深度入门课:http://bbs.eetop.cn/thread-854132-1-1.html?_dsign=51ebd853

STA/DC综合,看第10/11课。
 楼主| 发表于 2019-5-24 17:30:14 | 显示全部楼层


asic_service 发表于 2019-5-24 17:13
可以先用:
check_design
check_timing


谢谢回复。
都check过了,和这个帖子中遇到的问题类似,startpoint 是寄存器的输出(internal pin),endpoint也是寄存器的输出。
http://bbs.eetop.cn/thread-408832-1-1.html?_dsign=d3857428
 楼主| 发表于 2019-5-24 17:32:49 | 显示全部楼层
补充说明:

参考网址:
https://www.eefocus.com/hjacky/blog/15-01/310114_45a67.html

Operating Conditions: typ_1_80V_25C   Library: D_CELLSL_LP3MOS_typ_1_80V_25C
Wire Load Model Mode: enclosed

  Startpoint: U5/Q (internal pin)
  Endpoint: Cout (output port)
  Path Group: (none)
  Path Type: max

  Des/Clust/Port     Wire Load Model       Library
  ------------------------------------------------
  adder_reg          0_1k                  D_CELLSL_LP3MOS_typ_1_80V_25C

  Point                                    Incr       Path
  -----------------------------------------------------------
  U5/Q (AO22LX1)                           0.00       0.00 r
  Cout (out)                               0.00       0.00 r
  data arrival time                                   0.00
  -----------------------------------------------------------
  (Path is unconstrained)

和这个类似。
那么遇到这种问题一般是由于什么造成的呢?



1.逻辑里面没有reg,或者latch这种会被clock约束的逻辑,比如整个逻辑里面输入输出没寄存,就是一坨组合逻辑;

2.时钟的传递有问题,比如reg/latch的时钟端没有接收到时钟(如何看是否有时钟过来,是哪个时钟呢?一般可以从report_timing 的report中看到)

3.存在timing exceptions(可以通过report_timing_requirements查看),比如set_false_path, set_disable_timing, 等等,甚至有可能是set_clock_sense 这种影响时钟传递的sdc constrain造成了这条timing path没有被约束住。

4.library有问题;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 10:25 , Processed in 0.018661 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表