在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7755|回复: 10

[求助] VCS编译vivado library出现error

[复制链接]
发表于 2018-8-7 10:40:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Error-[DECSPE] Decryption failed
  Error while decrypting source file
  Failed to load key needed to decrypt data_block. No key_block found for VCS.
  Please use vcs RSA public key and pragma expressions to identify the
  keyblock mentioned in vcs documentation while encrypting to be able to
  decrypt with vcs.

编译vivado library的加密文件(.vp)出现以上error,有遇到过的小伙伴吗?帮个忙,谢谢。
发表于 2018-8-7 17:49:57 | 显示全部楼层
不能这么做吧……
每家人家都有自己的加密算法,Xilinx的vp只能Xilinx自家工具解,vcs肯定解不了。
 楼主| 发表于 2018-8-8 09:02:57 | 显示全部楼层
回复 2# orlye


    可以的,是vivado版本的问题,2017 Ok的可以编译,没有error,但是没有检测vivado2017的library有没有加密文件,
发表于 2018-8-8 09:06:33 | 显示全部楼层
1. 确认vcs的版本能够meet vivado的需求
2. 在vivado 里面有调用vcs的预编译功能, 将vivado的库先编译了, vcs使用的时候直接调用就好了。
发表于 2019-8-28 10:36:26 | 显示全部楼层


y23angchen 发表于 2018-8-8 09:06
1. 确认vcs的版本能够meet vivado的需求
2. 在vivado 里面有调用vcs的预编译功能, 将vivado的库先编译了, ...


您好,在vcs中怎么调用这个预编译好的库呢,我生成了synopsys_sim.setup库文件,然后再仿真路径也创建了synopsys_sim.setup来指向vivado生成的库文件,但是仿真的时候依然报在library找不到对应的symbol
发表于 2019-8-30 10:55:06 | 显示全部楼层


liaoyixiu 发表于 2019-8-28 10:36
您好,在vcs中怎么调用这个预编译好的库呢,我生成了synopsys_sim.setup库文件,然后再仿真路径也创建了s ...


我有点忘记了,哈哈, 你随便用vivado 生成一个 IP, 比如MIG,  然后点仿真,然后仿真工具选择VCS, run一下,就能看到使用vcs仿真 的脚本了, 照着改一下就好了。。

发表于 2020-5-29 17:26:55 | 显示全部楼层
https://forums.xilinx.com/t5/Sim ... -failed/td-p/969850

官网给出的解决方案,亲测可用
发表于 2020-9-18 15:57:38 | 显示全部楼层


zzj0329 发表于 2020-5-29 17:26
https://forums.xilinx.com/t5/Simulation-and-Verification/Error-DECSPE-Decryption-failed/td-p/969850
...


请问你是升级了VCS版本解决的吗?现在vivado都除了2020.1版本了,请问你有高版本的VCS吗?
发表于 2020-9-21 11:36:41 | 显示全部楼层


chenbeihp 发表于 2020-9-18 15:57
请问你是升级了VCS版本解决的吗?现在vivado都除了2020.1版本了,请问你有高版本的VCS吗?
...


vcs2017即可
发表于 2022-1-12 10:51:53 | 显示全部楼层


liaoyixiu 发表于 2019-8-28 10:36
您好,在vcs中怎么调用这个预编译好的库呢,我生成了synopsys_sim.setup库文件,然后再仿真路径也创建了s ...


老哥,我也遇到这个问题了,你最后是怎么解决的呀
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 02:11 , Processed in 0.029435 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表