在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1829|回复: 0

[原创] p_sequencer

[复制链接]
发表于 2018-4-29 10:56:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一,关系
sequence_A                                                                   sequencer_A

sequence_B    virtual_seq <---------> virtual_sequencer   sequencer_B

sequence_c                                                                   sequencer_C

二,代码
class virtual_sqr extends uvm_sequencer;
`uvm_component_utils(virtual_sqr)

sequencer_A   sqr_A;
sequencer_B   sqr_B;
sequencer_C   sqr_C;
endclass

class virtual_seq extends uvm_sequence;
`uvm_object_utils(virtual_seq)
`uvm_declare_p_sequencer(virtual_sqr)

sequence_A     seq_A;
sequence_B     seq_B;
sequence_C     seq_C;

task body();
       `uvm_do_on(seq_A, p_sequencer.sqr_A);
       `uvm_do_on(seq_B, p_sequencer.sqr_B);
       `uvm_do_on(seq_C, p_sequencer.sqr_C);
endtask

endclass

三,问题: sequence_A的p_sequencer是谁? sequencer_A吗?
class  sequence_A extends uvm_sequence #(my_transation);
`uvm_object_utils(sequence_A)
`uvm_declare_p_sequencer(???)

endclass
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:48 , Processed in 0.013381 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表