在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1471|回复: 0

[原创] 勇敢的芯伴你玩转Altera FPGA连载57:3-8译码器实验

[复制链接]
发表于 2018-3-26 16:14:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
勇敢的芯伴你玩转Altera FPGA连载573-8译码器实验

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1i5LMUUD

1.jpg


所谓3-8译码器,大家可以baidubing一下。相信学习FPGA的读者一定都上过数字电路的课程,所以这里对3-8译码器功能的实现给个真值表,如表8.1所示,一目了然。

8.1 3-8译码器真值表

  

SW3

  
  

SW6SW5SW4

  
  

复位

  
  

点亮LED

  
  

X

  
  

X,X,X

  
  

0

  
  

全灭

  
  

OFF

  
  

X,X,X

  
  

1

  
  

全灭

  
  

ON

  
  

OFF,OFF,OFF

  
  

1

  
  

D2点亮

  
  

ON

  
  

OFF,OFF,ON

  
  

1

  
  

D3点亮

  
  

ON

  
  

OFF,ON,OFF

  
  

1

  
  

D4点亮

  
  

ON

  
  

OFF,ON,ON

  
  

1

  
  

D5点亮

  
  

ON

  
  

ON,OFF,OFF

  
  

1

  
  

D6点亮

  
  

ON

  
  

ON,OFF,ON

  
  

1

  
  

D7点亮

  
  

ON

  
  

ON,ON,OFF

  
  

1

  
  

D8点亮

  
  

ON

  
  

ON,ON,ON

  
  

1

  
  

D9点亮

  

注:X表示ONOFF,即任意状态。


本实例的工程代码如下。

module cy4(


input ext_clk_25m,
//
外部输入25MHz时钟信号


input ext_rst_n,
//
外部输入复位信号,低电平有效


input[3:0] switch,
//4
个拨码开关接口,ON -- 低电平;OFF -- 高电平


output reg[7:0] led
//8
个LED指示灯接口


);

//-------------------------------------

always @ (posedge ext_clk_25m or negedge ext_rst_n)


if(!ext_rst_n) led <= 8'hff;
//
所有LED关闭


else if(switch[0]) led <=8'hff;
//SW3
处于OFF状态,所有LED关闭


else begin
//SW3
处于ON状态,点亮的LED位由SW4/SW5/SW6拨码开关的输入决定


case(switch[3:1])


3'b111: led <=8'b1111_1110;
//D2
点亮


3'b110: led <=8'b1111_1101;
//D3
点亮


3'b101: led <=8'b1111_1011;
//D4
点亮


3'b100: led <=8'b1111_0111;
//D5
点亮


3'b011: led <=8'b1110_1111;
//D6
点亮


3'b010: led <=8'b1101_1111;
//D7
点亮


3'b001: led <=8'b1011_1111;
//D8
点亮


3'b000: led <=8'b0111_1111;
//D9
点亮


default: ;


endcase


end

endmodule


这个代码中只有一个always语句,这里对拨码开关做判断,首先判断拨码开关SW3switch[0])为OFF,则让所有LED也都OFF;接着用case语句判断拨码开关SW4/SW5/SW6switch[3:1])的输入状态,根据真值表,相应获得输出结果,点亮译码后的某个特定LED


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 18:23 , Processed in 0.018005 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表