在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1640|回复: 2

[求助] dc综合multiple clock定义问题

[复制链接]
发表于 2017-5-26 21:16:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的code中有clock generator产生了几个同步时钟,这些slave clocks也是要定义的吧,不然dc不会分析那些multi-clock timing path?是用create_generated_clock定义么?
发表于 2017-5-31 04:26:43 | 显示全部楼层
create_generated_clock -add
发表于 2017-5-31 11:03:08 | 显示全部楼层
这里举个实例给你,可能会方便理解:
create_generated_clock [get_pins pll_dig_inst${path_note}CLK48M_DIV12_CLKBUF/Z] -name "CLK48M_DIV12" -divide_by 12 -source [get_ports CLK48M]
大意:创建一个被CLK_48M  12分频名为CLK48M_DIV12的时钟在分频器输出端Z端,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 19:57 , Processed in 0.019760 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表