在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5216|回复: 12

[求助] 如何用Verilog-a对熔丝(fuse)建模?

[复制链接]
发表于 2017-4-18 16:35:36 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
自己编写了一个verilog-a程序,用来对熔丝(fuse)建模,在电流大于1mA时熔丝要烧断。但dc仿真却不收敛,主体程序如下:
module fuse(vp,vn)
   electrical vp,vn;
   parameter real r=1k;
   Analog begin
     if(I(vp,vn)>1m) begin
       I(vp,vn)<+0;
     end

     else begin
       V(vp,vn)<+r*I(vp,vn);
     end
   end
endmodule

仿真发现电流超过1m时,程序就在
if(I(vp,vn)>1m) begin
       I(vp,vn)<+0;
end
这个语句产生不收敛。

请教大家,如何用Verilog-a对fuse建模呢?
发表于 2017-4-19 10:31:06 | 显示全部楼层
模型过于理想很容易不收敛
可以设置Ron = 1k, Roff = 10M。
更好的办法是用tanh函数来平滑电阻变化。
 楼主| 发表于 2017-4-19 21:19:12 | 显示全部楼层
感谢楼上的回复。自己又修改了一下,仿真通过了。
module fuse(vp,vn)
   electrical vp,vn;
   parameter real r=1k;   integer   rstate;
   analog begin
     @(initial_step) begin//只在程序开始仿真时执行一次
        rstate=(V(vp)<2)?1:0;//此语句必须放在initial中,否则程序在每个仿真点都会执行该语句,导致vp<2时rstate又为1,即本来
     end                              //熔断的fuse又恢复了
     if(V(vp)>2&&V(vp,vn)/r>1m) begin//此语句中的V(vp,vn)/r不能用 I(vp,vn)代替,否则不收敛
       rstate=0;
     end

     if(rstate==0)begin
       I(vp,vn)<+0;
     end
     else begin
       V(vp,vn)<+r*I(vp,vn);
     end
   end
endmodule
发表于 2017-4-19 21:43:52 | 显示全部楼层
回复 2# zcg0697

高手~~
发表于 2019-1-9 16:10:57 | 显示全部楼层




   


请问
fuseoff
阻抗多少是哪边设定
?

发表于 2019-1-10 12:47:35 | 显示全部楼层


感谢楼上的回复。自己又修改了一下,仿真通过了。
module fuse(vp,vn)
   electrical vp,vn;
   paramet ...
wjx197733 发表于 2017-4-19 21:19




   

单独跑
verilogA fuse
model
不会流过电流阿,


一般应verilogA

fuse
电流

>1ma 烧断吗?

 楼主| 发表于 2019-1-13 09:32:06 | 显示全部楼层
回复 6# andy2000a


   烧断电流的大小由工艺厂提供
发表于 2022-4-8 11:08:24 | 显示全部楼层
本帖最后由 zacknight 于 2022-4-8 11:24 编辑


wjx197733 发表于 2017-4-19 21:19
感谢楼上的回复。自己又修改了一下,仿真通过了。
module fuse(vp,vn)
   electrical vp,vn;

谢谢楼主,正在学习这方面的内容,帮助很大~
发表于 2022-9-27 19:08:24 | 显示全部楼层
为啥我一个没变 复制过去 报了个语法错误 自闭了
发表于 2022-9-27 19:54:40 | 显示全部楼层
有没大佬知道原因 ,为啥我复制过去 报了个语法错误
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 08:51 , Processed in 0.027231 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表