在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: xingyun666666

[讨论] 什么情况下,一条path既有setup violation,又有hold violation?

[复制链接]
发表于 2016-11-1 22:35:44 | 显示全部楼层
回复 1# xingyun666666


    有两种可能的情况:
1)时钟路径过长,ocv效应过大;
2)路径上的crosstalk过大,对setup和hold都有影响。
发表于 2016-11-2 10:45:48 | 显示全部楼层
学习了,太好了。。。。
发表于 2021-6-25 10:29:06 | 显示全部楼层


mnluan 发表于 2016-10-27 11:18
一般在clock gate的检查时,会出现这样的path。工艺越细,SS corner 和 FF corner下cell的delay偏差越大。 ...


赞!!!
发表于 2021-6-25 14:56:37 | 显示全部楼层
setup hold互卡现象还是后端很经常出现的,分享几个解决互卡的方法
1、先修clock上的SI,SI包括latency、skew、trans、uncertainty、clock level。首先应该先降clock latency,因为latency过大会使得受OCV和PVT影响更大。
2、clock的ndr设置好,clock net最好能2倍width 2倍spacing
3、把common path推长,ocv会减小
4、换setup/hold corner下skew更小的cell,如lvt,这样setup和hold互卡情况会缓解
上述方法都试过且时钟树没问题大胆找前端探讨一下代码能否优化
发表于 2021-6-25 15:20:33 | 显示全部楼层


yangsenlin1115 发表于 2021-6-25 14:56
setup hold互卡现象还是后端很经常出现的,分享几个解决互卡的方法
1、先修clock上的SI,SI包括latency、sk ...


吧common path做长可不容易,大佬能提出一些见解么?
发表于 2021-6-25 15:31:02 | 显示全部楼层


0417多音字 发表于 2021-6-25 15:20
吧common path做长可不容易,大佬能提出一些见解么?


这要开时钟树认真看,找到分叉的点,在分叉点前面垫INV,再把后面的reg手动连到分叉点附近,这样就行了,操作完最好跑个FM。
发表于 2022-9-21 14:46:55 | 显示全部楼层
本帖最后由 sunhongwei 于 2022-9-21 14:48 编辑


yangsenlin1115 发表于 2021-6-25 14:56
setup hold互卡现象还是后端很经常出现的,分享几个解决互卡的方法
1、先修clock上的SI,SI包括latency、sk ...


1,4两个方法,我用过几次。 确实可行的,第4个方法 开个两个pt 来回倒腾下就行
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 14:56 , Processed in 0.032212 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表