在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5054|回复: 8

[求助] 求助 如何约束Latch

[复制链接]
发表于 2016-5-30 18:02:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我画了一个简图 如下
新建位图图像.bmp

latch 位于内部逻辑,但是check_timing得时候 ,总是报 从LATCH 到 FF2 的时序 没有约束!
report_timing -to FF2/D 只有数据路径,没有 时钟路径
请问各位 是不是  哪个位置约束不对?
还是说 LATCH 的EN 信号 需要时 时钟 输入 才有 timing 检查, 一般信号是不是可行?
发表于 2016-5-30 21:43:00 | 显示全部楼层
对的,latch当做时序原件的用法里面,EN的角色就是接clk的。
建议你把EN的来源也用create_clock定义出来

latch的特点是timing borrow
 楼主| 发表于 2016-5-31 08:50:17 | 显示全部楼层
回复 2# sjtusonic


    谢谢 版主的解答,但是我这个EN信号也是内部产生的信号,要如何定义成时钟呢?
可不可以从latch 的 输入点定义呢?
发表于 2016-6-1 22:08:03 | 显示全部楼层
可以啊,
关键是要看你这几个cell组成的电路是要干什么
发表于 2016-6-2 09:09:29 | 显示全部楼层
在PT里面LATCH的D和EN的SETUP/HOLD是要CHECK的吧。
难道有OPTION要设定了才能CHECK?
还有就是你怎个电路EN接CLK2?对策CLK1/CLK2的SKEW太大的问题?
 楼主| 发表于 2016-6-2 14:38:15 | 显示全部楼层
回复 5# nuptyangt


    EN 不接CLK1 ,也不接CLK2, 是 一个内部 信号——确切说是 CLK1 产生的一个控制信号
这里latch 是为多个信号到达一致性(有多个latch),然后转到CLK2域
发表于 2016-6-3 18:15:36 | 显示全部楼层
好奇怪的同步电路。也不能保证CLK1的能在同一个CLK2周期被捕捉到吧。
这个如果电路结构没问题一定要CHECK的话,EN端子信号要指定成CLK1的子时钟。
LATCH的TIME borrow要考虑。
发表于 2016-6-4 23:14:08 | 显示全部楼层
专门问了前辈这个问题,在综合的时候是通过set——max——delay约束这条路径的。
在时序分析的时候是把en端口mark成时钟分析的。
发表于 2016-6-6 11:03:53 | 显示全部楼层




    呵呵,你这个有点搞哈,这个估计你得要和设计的人确认下了,
    这里要把EN端create 出时钟,同时还得和 CK1 和 CK2 去做check。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 00:03 , Processed in 0.032520 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表