在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: qfliuyang

[原创] 手把手教你独立做出《CMOS集成电路后端设计与实战》里的Lab

[复制链接]
发表于 2015-10-25 23:21:36 | 显示全部楼层
顶顶顶
发表于 2015-10-26 14:24:16 | 显示全部楼层
顶!顶!顶!顶!
发表于 2015-10-26 17:37:13 | 显示全部楼层
顶,学习
 楼主| 发表于 2015-10-26 19:48:11 | 显示全部楼层
1026第八更
今天解决完route、route_opt和DFM

首先,load进pre_PHASE的milkyway design library

r1.jpg


这里可以使用一个新的sdc以及相应的mmmc脚本来创建相应的scenario,但是我发现目前为止timing还非常松,而且initial route并不专注于修timing,所以这里就直接用post cts的sdc与scenario。

route同样分两个阶段进行,第一阶段为initial route,做完之后看看DRC(此DRC非彼DRC哦)有没有大的问题,没有的话我们进入真正的route opt,修timing,优化power、area等

r.jpg


这一步进行相应的设置,主要是提前设一下redundant via

然后就可以开工了

route_opt -initial_route_only

工具会按照GRC一点一点route,并且把DRC慢慢修下来。如果congestion不大,一般轻松绕通

如果绕完时候有100个左右的DRC,可以先试试route_zrt_detail -incrmental true -max_number_iterations 40做一下detail的route。非常好修的DRC用这条命令可以轻松解决掉,可以多运行几次,看看效果。

如果还剩一些顽固的short,还可以这样

写个tcl脚本把short的net删掉一个(别删掉了VDD和VSS!),然后route_zrt_eco

r3.jpg


后面也很简单

r4.jpg


然后我们进入route opt阶段

这里我们就要准备一个新的sdc和scenario了,这里我们的sdc很简单就是改了下clock_uncertainty ,放了些margin

r5.jpg


为了更有效的修timing,设一下path group,然后开工

r6.jpg


收工!

r7.jpg



下面我们做下DFM,只举几个简单的例子

先load进来route opt完的library

r8.jpg


然后spread、widen一下wire,填上filler,用ICC插一下metal filler,一目了然,对不对

r9.jpg


就这么简单,一个简单的流程就走完了。

下面贴一下common setting中的一些设置,都很基础

c1.jpg


c2.jpg


c4.jpg


c3.jpg


C6.jpg


C7.jpg


c8.jpg


c9.jpg


理论上来说,凭借eetop上已有的资源,加上耐心长时间的钻研是可以独立走一个流程出来的

那刘峰老师的书可以为我们带来什么好处呢?那就是大大的节省了时间,少走弯路,让我们在自学中有的放矢

这个世界上没有一个lab可以包治百病,真正能带来收获的是探索中不断解决问题的过程

这个帖子到现在来说算是一个简单的交代,有一定基础的同学也许会受到一些启发,配合刘峰老师的书可以更直观的理解后端设计。不过LZ也清楚这还远远不能算一个合格的lab。一个更详细、全面、真正有参考价值的lab我正在构思,说不定哪天大家闲来无事刷eetop的时候就会发现这个帖子最后多了一个pdf,说不定能给新手带来帮助,大家有什么迫切需求也可以提出来,有价值的需求我会在“真正的”lab中有所反应

感谢刘峰老师为后端初学者做出的贡献,也感谢刘峰老师对我的宽容和信任
发表于 2015-10-26 21:11:32 | 显示全部楼层
赞!
发表于 2015-10-27 08:26:25 | 显示全部楼层
回复 36# panqingb


   不是qixin,是另外一家培训机构“ichip”,反正两家都是做得不错。
发表于 2015-10-27 09:25:14 | 显示全部楼层
感谢楼主的无私奉献
发表于 2015-10-27 09:27:09 | 显示全部楼层
希望还有其他lab的指导
发表于 2015-10-27 23:02:04 | 显示全部楼层
新手学习了
发表于 2015-10-28 14:47:38 | 显示全部楼层
高手, 多谢多谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 09:58 , Processed in 0.028368 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表