在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4703|回复: 15

[解决] 同步多时钟综合问题

[复制链接]
发表于 2015-9-30 09:50:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 eda—wdy 于 2015-9-30 17:08 编辑

这是时钟分频模块,然后各自驱动其他模块,我用create_generated_clock产生分频时钟
直接compile,分频产生时钟都没有约束路径。是不是还需要其他命令。top-down综合




  1. module clk_div ( resetn, clock, clk1, clk2, clk3, clk4);

  2. input resetn, clock;

  3. inout clk1, clk2, clk3, clk4;

  4. // this is a divide by four clock as clk4

  5. reg clk1_int, clk2_int, clk3_int, clk4_int;
  6. wire  reset = ~resetn;

  7. assign clk1 = clk1_int;
  8. assign clk2 = clk2_int;
  9. assign clk3 = clk3_int;
  10. assign clk4 = clk4_int;

  11. always@(posedge clock or posedge reset)
  12. begin
  13. if(reset == 1)
  14. begin
  15.    clk1_int <= 0;
  16.    clk2_int <= 0;
  17.    clk3_int <= 0;
  18.    clk4_int <= 1;
  19. end
  20. else
  21. begin
  22.    clk1_int <= clk4;
  23.    clk2_int <= clk1;
  24.    clk3_int <= clk2;
  25.    clk4_int <= clk3;
  26. end
  27. end

  28. endmodule



复制代码
发表于 2015-9-30 14:26:33 | 显示全部楼层
同求解答
发表于 2015-9-30 14:40:06 | 显示全部楼层
指令的-source -named -master都设置号就可以了
 楼主| 发表于 2015-9-30 14:53:06 | 显示全部楼层
回复 3# 南宫恨


    比如这个怎么设置-source 【get_ports clock】 -master_clock clock  关键是频率是4分频可是占空比不是50%  怎么设置占空比
 楼主| 发表于 2015-9-30 15:00:04 | 显示全部楼层
回复 3# 南宫恨


    我第一次generated了分频时钟可是与实际电路不符合,report_clock查看了也确实成功了,可是就是没有产生时钟的时序路径
发表于 2015-9-30 15:24:15 | 显示全部楼层
inout clk1, clk2, clk3, clk4;

这个为什么用inout?
发表于 2015-9-30 15:40:14 | 显示全部楼层
回复 5# eda—wdy


    刚仔细看了下,为啥你这么多都是inout,不太清楚你到底要做什么
 楼主| 发表于 2015-9-30 15:42:17 | 显示全部楼层
回复 6# 老阮

额 我还真没注意,别人的代码看一下就准备跑综合和后端。。。靠。。还真是这个原因。。generated clock有时序路径了,多谢多谢
发表于 2015-9-30 15:42:37 | 显示全部楼层
回复 4# eda—wdy


    直接写个寄存器,复位,之后循环移位就好了,输出就用这几个寄存器产生不好么
发表于 2015-9-30 15:48:38 | 显示全部楼层
回复 5# eda—wdy

    可以使用-edge选项,我觉得先把inout改掉,之后按照下面地址说的看看,没有图实在不好讲,反正-edge说明了分频时钟和master clock的相位关系
    http://userbinglingxiao.blog.163 ... 522201341710284559/
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 19:24 , Processed in 0.027890 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表