在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10830|回复: 5

[原创] 使用notepad++调用vlog.exe程序编译verilog代码

[复制链接]
发表于 2013-4-28 15:05:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

由于楼主工作学习当中经常使用notepad++的编辑器编写verilog代码,经常调用modelsim的进行基本编码输入检查。但是每次都手动打开modelsim软件既费时间又由于启动modelsim GUI占用系统比较大的内存,于是博主就经过研究notepad++工具,找到了一个直接在notepad++ 客户端运行vlog.exe来对verilog代码进行编译的办法。打开一个verilog代码文件后,按F5,打开“运行”对话框,在文本框输入cmd /k cd "$(CURRENT_DIRECTORY)" &  D:/questasim_10.0a/win32/vlog.exe "$(FULL_CURRENT_PATH)" & ECHO. & PAUSE & EXIT,然后点击“保存”,填写个名字,比如“Run with verilog”,配置下面的快捷键,点OK即可。之后运行verilog文件只要按配置的快捷键或者在运行菜单上点“Run with verilog”即可。

运行之后 系统会默认的在notepad++的安装路径中新建一个work文件夹作为日后代码编译的work库文件,如果不能自己新建,可以先打开modelsim,随便使用一个verilog代码编译一个仿真库文件work文件夹,放到notepad++目录下即可运行。


                               
登录/注册后可看大图

发表于 2013-5-2 15:25:28 | 显示全部楼层
此帖仅作者可见
发表于 2013-5-2 15:27:19 | 显示全部楼层
此帖仅作者可见
发表于 2016-5-6 10:27:32 | 显示全部楼层
此帖仅作者可见
 楼主| 发表于 2016-5-6 20:13:48 | 显示全部楼层
此帖仅作者可见
发表于 2021-11-19 07:23:53 | 显示全部楼层
此帖仅作者可见
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:18 , Processed in 0.035518 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表