在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10289|回复: 21

[求助] SRAM读写

[复制链接]
发表于 2012-12-3 15:48:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对于双端口SRAM可以不可以对一个地址同时进行读写
发表于 2012-12-3 16:33:08 | 显示全部楼层
应该不可以吧,遇到这种情况一般会有一个仲裁,待高手解决
发表于 2012-12-4 10:12:22 | 显示全部楼层
Yes, you can do that.You can adjust the skew of the input/output clocks to make
it read first or write first without violating the timing spec.
 楼主| 发表于 2012-12-4 16:53:50 | 显示全部楼层
可以再说的详细点吗?
发表于 2012-12-5 10:32:01 | 显示全部楼层
回复 4# zheng070608138

"Read first" means you will read the old content.

"Write first" means you will read the newly written content.


Adjust edge positions of the read/write clocks (read edge before/after write edge) you will either one of the above.


Of course the address, data, and the read/write clock must satisfy the timing constraints of the SRAM.
发表于 2012-12-27 16:23:27 | 显示全部楼层
控制器做好就行
发表于 2013-2-28 22:40:44 | 显示全部楼层
I have no experience on the dual-port SRAM. Below is my comments, more discussion is welcome.
I do not think adjusting clock edge works.
Read takes more time than write SRAM. the operation clock frequence is limited by read time.
My understanding is the SRAM user does not need to adjust the write clk and read clk
For read first, SRAM shall latch write data in register, performs read operation to get the old value, then write the latching data to the SRAM cell.
For write first, SRAM can pass write data to dout directly, meanwhile the write data is written into hte SRAM cell.
So, I think the key is that the write data is latched in the internal registers.
发表于 2013-3-4 21:34:29 | 显示全部楼层
可以同时读  只要一端写,另一端对这个地址就暂时不能操作,读写都不行
发表于 2013-5-14 20:21:24 | 显示全部楼层
可以根据你的需要设计仲裁方式,可以读有效、写有效或者该单元无效
发表于 2013-5-24 12:37:36 | 显示全部楼层
回复 1# zheng070608138

写的时候,Q端同时出来的就是同一地址原来的数据,这是一个tricky。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 08:24 , Processed in 0.036330 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表