在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 36646|回复: 8

[求助] modelsim中# Error loading design如何查看出错原因

[复制链接]
发表于 2012-6-21 20:54:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我用的是modelsim altera 6.4a,每次出现# Error loading design错误时多不提醒出错原因,但我同学的就会提示。
请问这是版本的问题还是设置的问题?
发表于 2013-2-2 23:54:23 | 显示全部楼层
我也出现这个问题,希望有人解答!!!
发表于 2013-2-7 09:50:31 | 显示全部楼层
我这里也是,尤其是选择simulate without opt的时候,如果选择优化,就会提示。
或者到compile菜单里面看summary,基本错误都会在这里提示
发表于 2013-7-24 10:51:06 | 显示全部楼层
我这边出现以下情况,不知什么意思啊
vlog -work work -vopt -nocovercells D:/!Works/2013/CrspdCnt/QII_Work/PLL_TST_V0.4_0723_B/sim/clk_cfg_tb.v
Model Technology ModelSim SE vlog 6.5 Compiler 2009.01 Jan 22 2009
-- Compiling module clk_cfg_tb

Top level modules:
        --none--

vlog -work work -vopt -nocovercells D:/!Works/2013/CrspdCnt/QII_Work/PLL_TST_V0.4_0723_B/sim/clkout_cfg.v
Model Technology ModelSim SE vlog 6.5 Compiler 2009.01 Jan 22 2009
-- Compiling module clkout_cfg

Top level modules:
        clkout_cfg
发表于 2013-9-1 14:02:00 | 显示全部楼层
看看 你建立的模块和实例化的模块在端口上是不是一致的。
发表于 2014-7-2 11:30:46 | 显示全部楼层
你建立的模块和实例化的模块在端口上不一致,有个检测哪个端口不一致的方法是:首先如果有多个实例化,把其他实例化全注释掉,只留一个实例化,看还有没有这个问题,找出是哪个实例化出现了问题;然后把实例化端口只保留一个、两个、···,判断是哪个端口出现了问题;最后就是看为什么这个端口有问题了:可能是实例规则写错了,比如.en(en_t),en是你实例化模块的端口,en_t是你顶层模块端口;也有可能实例化模块端口和顶层模块端口的数据类型定义错了;···
发表于 2015-7-31 14:19:22 | 显示全部楼层
我也出现这个问题,希望有人解答!!!
发表于 2015-7-31 16:10:05 | 显示全部楼层
这种问题一般就是模块间例化连接不一致吧
发表于 2015-7-31 17:49:30 | 显示全部楼层
幫頂一下 加油
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 04:57 , Processed in 0.031390 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表