在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5289|回复: 7

[求助] 求助:用nc跑sv和C之间使用open array时出现的问题

[复制链接]
发表于 2012-3-30 09:54:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在SV与C之间使用开放数组来传递一帧图像数据,每次都是在调C函数之后马上发生fatal错误,log如下:
debug point 0: now call c function
ncsim *F INTERR : INTERNAL ERROR
----------------------------------------------------
The tool has encountered an unexpected condition and must exit,
Contact Cadence Design Systems customer support about this
problem and provide enough information to help us reproduce it,
including the logfile that contains this error message.
TOOL:ncsim(64)  08.20-s025
HOSTNAME :108
OPERATING SYSTEM :linux 2.6.9-67
MESSAGE : sv_seghandler - trapno -1


有没有谁知道这个“sv_seghandler - trapno -1”是什么东东呀?
发表于 2012-3-31 14:40:15 | 显示全部楼层
顶,同求解
发表于 2012-4-2 17:24:39 | 显示全部楼层
低昂一个
发表于 2020-10-19 16:03:44 | 显示全部楼层
解决了吗?
发表于 2022-9-2 21:58:14 | 显示全部楼层
解决了吗?
发表于 2023-4-7 18:41:36 | 显示全部楼层
请问解决了吗?我也碰到类似的问题
发表于 2023-9-13 09:57:19 | 显示全部楼层
请问 解决了吗 或者知道啥原因吗,我也遇到 sv_seghandler -trapno -1 的 问题
发表于 2023-9-25 09:52:36 | 显示全部楼层


Jt_小舟 发表于 2023-9-13 09:57
请问 解决了吗 或者知道啥原因吗,我也遇到 sv_seghandler -trapno -1 的 问题


我这边是 运行 irun 仿真 到 ncsim 会 显示这个问题。原因是 因为 SElinux  限制了 访问 资源 权限
通过临时修改设置 可以解决 :  setenforce Permissive 或 setenforce 0  
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 12:20 , Processed in 0.032126 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表