在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9750|回复: 7

[求助] 请教:用VCS-MX仿真VHDL代码 关于自定义库的问题

[复制链接]
发表于 2012-3-27 10:44:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在用VCS-MX仿真Vhdl代码,模块中带有自定义的库设计,第一步分析已经通过,但是第二部综合编译一直没通过 应该是我敲的命令的问题 看ug上的使用说明是vcs [libname.] <top modulle_or_entity_or_config> [compile opts] 各路大侠有没这方面的经验 具体命令该怎么输入 可以举个例子说明一下么?不甚感激 坐等答案
发表于 2012-3-27 11:28:06 | 显示全部楼层
把你的具体命令写出来我看看,我使用过VCS
 楼主| 发表于 2012-3-27 13:17:50 | 显示全部楼层
回复 2# bob_haohao
我在vcs mx的安装目录下面把用户的自定义库按照自带的库放在同样的目录下面 然后照着改了下安装目录下面的synopsys_sim.setup文件
这样第一步分析就能通过了
vhdlan -nc I2CMaster.vhd

其中I2CMaster.vhd中use了自定义的库
到第二步的时候就不行了
错误提示在liblist中找不到文件
我用的命令就:
vcs I2CMaster
如果说    I2CMaster.vhd中不带自定义库的话就能够通过
 楼主| 发表于 2012-3-29 14:20:39 | 显示全部楼层
没有人知道么?
发表于 2012-10-13 00:26:56 | 显示全部楼层
使用synopsys_sim_setup文件建立库目录,vhdl编译到库目录里面,默认是work
发表于 2013-3-22 18:05:11 | 显示全部楼层
谢谢分享!
发表于 2014-5-19 10:26:55 | 显示全部楼层
我的第一部编译的时候,有个文件老是过不了~
发表于 2020-4-8 11:34:30 | 显示全部楼层
good topic
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 17:59 , Processed in 0.032201 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表