在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16800|回复: 14

[求助] 建立时间和保持时间不满足如何解决

[复制链接]
发表于 2011-12-8 23:21:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RT,要详细
发表于 2011-12-8 23:22:33 | 显示全部楼层
自己编写SDC文件进行约束
发表于 2011-12-9 09:33:28 | 显示全部楼层
回复 1# 歪枣树

setup violation
主要就是设法剪掉critical path的delay,要么pipeline,要么retiming,要么把combination往前后级挪一挪。
hold time violation
hold time violation是clock tree的skew引起的。主要的宗旨就是设法加前面一级combination的delay,比如加buffer什么的。这时候hold time不满足必须让前前面延迟大一些,并大到比clock period还大出至少一个hold time来。也可以适当把clock period缩小。不过hold time并不是自己能控制的,挺闹心的。
在综合时,综上所述,
setup violation是由于前级组合的延迟过大引起的,因此要用set_max_delay来限制,
hold violation是由于前级组合延迟国小引起的,因此不能让他太小,要用set_min_delay来限制。
发表于 2011-12-9 10:22:14 | 显示全部楼层
个人的一般处理方法是用流水线分一下
发表于 2012-8-21 14:56:43 | 显示全部楼层
2楼,牛
发表于 2012-11-21 23:11:26 | 显示全部楼层
不是很清楚,看看
发表于 2012-11-22 08:51:29 | 显示全部楼层
回复 3# dreamylife


    setup violation是由于前级组合的延迟过大引起的,因此要用set_max_delay来限制,
hold violation是由于前级组合延迟国小引起的,因此不能让他太小,要用set_min_delay来限制。

胡扯,这个是例外约束。不是路径延时。这个只能改变指定路径发射沿和锁存沿的关系,就是他会用你设置的值来分析。根本起不到约束延时的目的!
发表于 2012-11-22 09:11:54 | 显示全部楼层
学习了
发表于 2012-11-27 13:25:22 | 显示全部楼层
仍旧看不懂
发表于 2012-11-27 15:14:41 | 显示全部楼层
用流水线细分一下怎么样
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 16:58 , Processed in 0.027795 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表