在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2929|回复: 3

[求助] systemVerilog中interface参数使用的问题

[复制链接]
发表于 2018-4-7 15:24:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
interface定义如下




  1. interface dut_bfm #
  2. (
  3.     parameter WIDTH = 32
  4. );
  5.     logic                               clk;
  6.     logic                               resetn;
  7.     //.......
  8. endinterface:


复制代码


class中使用




  1. class dut_drive;
  2.     virtual dut_bfm bfm;
  3.     // code
  4. endclass



复制代码


顶层文件中使用如下





  1. dut_bfm #
  2. (
  3.     .WIDTH (4)
  4. )
  5. dut_bfm_i();

  6. dut_drive dut_drive_h;




复制代码


modelsim就报错了
Virtual interface resolution cannot find a matching instance for 'virtual dut_bfm'

我就想在顶层例化interface的时候可以改变参数,语法上应该怎么完成
发表于 2018-4-8 18:13:01 | 显示全部楼层
还需要有一个connect操作,把顶层的实体和class里面例化的虚结构对应起来,或者用uvm的config机制。在env里面来是现在这个操作。
 楼主| 发表于 2018-4-8 19:25:36 | 显示全部楼层
回复 2# gaurson


    还没有学uvm
发表于 2018-4-9 17:23:39 | 显示全部楼层
那就看前半句。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:24 , Processed in 0.018800 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表