在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2122|回复: 5

[求助] 复数乘法器没有输出,不知道原因的我眼泪掉下来

[复制链接]
发表于 2017-12-18 21:53:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我的输出延时直接设置成1个clock cycle
A输入64位,32位实部,32位虚部。
B输入32位,16位实部,16位虚部。
输出共80位,实部,虚部都是33位。
这都没有问题,可是为什么没有输出呢。
111.PNG
222.PNG
捕获.PNG
是什么原因呢,我觉得设置也没有问题啊。
高人给指点一下吧。
发表于 2017-12-19 10:50:53 | 显示全部楼层
是不是跟仿真数据有关系,这些输入复数相乘结果可能就是这个吧,你可以换一些确定复数相乘结果不为0的输入数据试试
 楼主| 发表于 2017-12-19 11:05:11 | 显示全部楼层
回复 2# 菜鸟要飞

首先谢谢您的回复和建议。
经过我的进一步分析,已经找出了原因。
输入A的实部,虚部都是32位,输入B的实部虚部都是16位,那么输出应该是多少位呢?36+16+1=49位,即输出位的实部虚部都是49位才能完全显示结果,不至于截位。而我设置的输出位是33位,那么也就是说低49位的低16位被截掉了,而我给的输入数值又非常小,远小于65536,直接被截掉了。
把输出设置成49位,没问题,结果是对的。
发表于 2017-12-20 10:06:16 | 显示全部楼层
00000也是输出,,,,
发表于 2017-12-21 08:49:44 | 显示全部楼层
我是来看眼泪的。。
发表于 2018-1-5 21:54:34 | 显示全部楼层
tlast在设置中被选中了,但你的实际时序没有给出啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 08:48 , Processed in 0.029090 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表