在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6082|回复: 10

[求助] 菜鸟求助: PT报告中的 ”sequential_clock_pulse_width” 错怎么修?

[复制链接]
发表于 2017-10-16 12:46:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
菜鸟求助: PT报告中的 ”sequential_clock_pulse_width” 错怎么修?是什么原因产生的?


                               
登录/注册后可看大图
发表于 2024-7-26 18:15:45 | 显示全部楼层


你好,我也出现了负值的情况,请问是由什么引起的呢,应该怎么修呢
发表于 2021-12-28 17:12:50 | 显示全部楼层
看不到啊
发表于 2018-9-19 14:47:08 | 显示全部楼层
回复 8# xingyun666666


   正常情况下都是要修的,不用管的情况肯定存在,但是我没遇到过
发表于 2018-9-18 10:06:51 | 显示全部楼层
回复 7# songhao

请问师哥,有min pulse violation一定要修吗?还是有些情况可以不用管
发表于 2018-9-17 17:16:52 | 显示全部楼层
clock_pulse_width这个插buffer就可以修
发表于 2018-9-13 14:55:51 | 显示全部楼层
回复 4# jingest


   虚心求教,为什么clock tree上的buffer太多会引起pulse width错呢?
 楼主| 发表于 2017-10-31 11:16:03 | 显示全部楼层
回复 4# jingest


   
原本就是用INV建的tree
发表于 2017-10-18 17:24:51 | 显示全部楼层
clock tree上buffer用太多了,换inv..
发表于 2017-10-17 11:00:33 | 显示全部楼层
report in STA to check details, usually:
1. fix transition;
2. check IP highest supported speed
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-17 03:49 , Processed in 0.043358 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表