在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4759|回复: 5

[求助] vcs init issue

[复制链接]
发表于 2017-9-20 16:11:17 | 显示全部楼层 |阅读模式
100资产
请教各位大大,vcs 怎么初始化设置? 我在这边用的是 +vcs+initreg+random 好像跑了很久就不动了,请教各位大大是不是要用别的option?

最佳答案

查看完整内容

是不是需要给寄存器赋初值,然后在仿真避免没有reset的寄存器的X? vcs也好nc也好,他们的initreg option没有这个功能,这个选项只是让寄存器内部的udp reg的给个值,但是实际上,由于上电复位期间有很多情况可能导致第一级reg的初值被覆盖,仍然有大量x存在。 建议写个bench,用$deposit()的方式赋初值,能够避免仿真的很多问题,包括loop 还有,如果你担心工具一直不动,你可以打开profile选项,会生成文件标记执行频次,方便deb ...
发表于 2017-9-20 16:11:18 | 显示全部楼层
是不是需要给寄存器赋初值,然后在仿真避免没有reset的寄存器的X?
vcs也好nc也好,他们的initreg option没有这个功能,这个选项只是让寄存器内部的udp reg的给个值,但是实际上,由于上电复位期间有很多情况可能导致第一级reg的初值被覆盖,仍然有大量x存在。
建议写个bench,用$deposit()的方式赋初值,能够避免仿真的很多问题,包括loop
还有,如果你担心工具一直不动,你可以打开profile选项,会生成文件标记执行频次,方便debug到底是哪里在一直循环卡住了
发表于 2017-11-12 21:22:40 | 显示全部楼层
我一般不用initreg这个选项,直接默认就行,打开也没有跑不动的现象,估计你是其他地方有问题吧,可以试试0或1是否也会跑不动
发表于 2017-12-5 16:17:54 | 显示全部楼层
是不是需要给寄存器赋初值,然后在仿真避免没有reset的寄存器的X?
vcs也好nc也好,他们的initreg option没有这个功能,这个选项只是让寄存器内部的udp reg的给个值,但是实际上,由于上电复位期间有很多情况可能导致第一级reg的初值被覆盖,仍然有大量x存在。
建议写个bench,用$deposit()的方式赋初值,能够避免仿真的很多问题,包括loop
还有,如果你担心工具一直不动,你可以打开profile选项,会生成文件标记执行频次,方便debug到底是哪里在一直循环卡住了
发表于 2019-1-3 14:39:58 | 显示全部楼层
回复 1# kevin_dong
檢查是否有zero delay looop存在
发表于 2024-6-5 15:10:51 | 显示全部楼层


zkalexzk 发表于 2017-9-20 16:11
是不是需要给寄存器赋初值,然后在仿真避免没有reset的寄存器的X?
vcs也好nc也好,他们的initreg option没 ...


学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 14:21 , Processed in 0.020392 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表