在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: zlyld

[求助] UVM 交互式怎么完成?

[复制链接]
发表于 2017-8-25 10:09:22 | 显示全部楼层
也许我没说清楚,我没有说交互不重要。
我的意思是在验证的不同阶段做不同的事情。
虽然说越早发现问题解决代价越小,但也要考虑环境复杂度和复用性等问题。
 楼主| 发表于 2017-8-25 10:42:43 | 显示全部楼层
回复 11# qyxu1979


   同意您的观点。
 楼主| 发表于 2017-8-25 10:45:40 | 显示全部楼层
回复 10# yuanpin318


   抱歉前面的回复信息有误,让您误会了,害的你又写了代码上来。总之,谢谢了。
发表于 2017-8-25 14:27:03 | 显示全部楼层
yuanpin318的是正解,driver和sequencer之间就有这个req和rsp的通信接口,应该可以实现你的交互。这样就可以通过反馈,去控制sequence发送trans的流程。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 06:39 , Processed in 0.014895 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表