在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5445|回复: 8

[求助] DDR MIG MAP

[复制链接]
发表于 2012-1-31 17:33:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在开发板上验证DDR3,用Coregen,生成DDR MIG,MAP的时候出现下面的错误:
ERRORlace:1333 - Following IOB's that have input/output programming are locked
   to the bank 1 that does not support such values
   IO Standard: Name = LVDS_25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR =
   BIDIR, DRIVE_STR = NR
   List of locked IOB's:
    mcb4_dram_udqs_n
    mcb4_dram_udqs
    mcb4_dram_dqs_n
    mcb4_dram_dqs
ERRORack:1654 - The timing-driven placement phase encountered an error.


有几个问题请教下:
1、已经指定了DDR3在Bank4上,为什么“are locked   to the bank 1”?
2、这都是按照MIG_Design的教程操作的,出现这样的错误,是不是说明软件有Bug?
3、我在网上查了,也有很多在设计DDR2的时候出现这样的问题,但没有具体的解答方法
发表于 2015-7-13 18:09:57 | 显示全部楼层
解决了没?我今天也碰到了类似问题,搜索了下,没找到答案。。。

Place:1333 - Following IOB's that have input/output programming are locked
   to the bank 1 that does not support such values
   IO Standard: Name = LVDS_25, VREF = NR, VCCO = 2.50, TERM = NONE, DIR =
   BIDIR, DRIVE_STR = NR
   List of locked IOB's:
           mcb3_dram_udqs
           mcb3_dram_dqs_n
           mcb3_dram_dqs
           mcb3_dram_udqs_n
发表于 2015-7-14 16:54:01 | 显示全部楼层
搞定  

   踩别人肩膀上,踩塌了
发表于 2015-8-24 22:31:45 | 显示全部楼层
楼上的,你好,你是怎么解决的,能否赐教,我最近也遇到这个问题了
发表于 2015-8-24 22:46:25 | 显示全部楼层
回复 3# duduchifan
大侠,能否教下,你是怎么搞定的?
发表于 2015-8-25 16:46:58 | 显示全部楼层
回复 3# duduchifan


    呵呵,我看到你登陆了,希望你有空的时候回复我下,谢谢
发表于 2015-8-25 21:00:15 | 显示全部楼层
帮你顶起来
发表于 2015-8-26 00:12:21 | 显示全部楼层
回复 7# lcxi2727

谢谢!还是得靠自己终于找到答案了It seems that, in some cases, ISE disconnects the UCF file from the project, even if it does not show this separation...
This error message comes from ISE trying to place pins automatically at some random pins, and naturally, it goes wrong as random does not pick MCB dedicated pins...
It worked after removing the UCF from the project and adding it again just one sec latter.
Hope this helps


应该是ISE软件的bug,REMOVE UCF文件,之后在ADD UCF文件,编译就通过了










发表于 2016-1-8 17:27:09 | 显示全部楼层
回复 3# duduchifan


   您是怎么解决的?求教~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 12:30 , Processed in 0.027595 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表