在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2537|回复: 5

[求助] win7 64位,questa sim 10.2c仿真systemverilog DPI出错

[复制链接]
发表于 2015-4-16 09:58:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在学习systemverilog DPI,刚开始就遇到错误了。
代码如下:
1、string_sv2c.c:





  1. #include "svdpi.h"
  2. #include <stdio.h>

  3. void string_sv2c()
  4. {
  5.     printf( "C: Hellow from C" );
  6. }


复制代码



2、main.sv:





  1. program main;
  2.    
  3.     import "DPI-C" function void string_sv2c();
  4.    
  5.     initial begin
  6.         string_sv2c();
  7.     end
  8.    
  9. endprogram


复制代码




使用questa sim 仿真,过程如图所示:
捕获.PNG

究竟是什么原因啊,求各位大大指点指点!谢谢!
 楼主| 发表于 2015-4-16 10:01:24 | 显示全部楼层
up,up,up
发表于 2015-4-17 09:50:30 | 显示全部楼层
在vsim时需要用-svlib将编译的.dll文件加载上去,注意加载时只要dll的文件名,不需要后缀...
 楼主| 发表于 2015-4-17 10:34:05 | 显示全部楼层
回复 3# guolehaohao


   已经解决了,另附上方案链接:http://bbs.ednchina.com/BLOG_ARTICLE_3028736.HTM
发表于 2015-4-17 13:20:21 | 显示全部楼层
回复 4# gateway91

赞一个....
发表于 2015-9-28 10:25:22 | 显示全部楼层
thank you
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 16:21 , Processed in 0.023221 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表