在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3922|回复: 8

[求助] 求助:UVM中如何获得读出来的数据?

[复制链接]
发表于 2013-5-17 15:13:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位:
      正在用UVM搭建仿真环境,把以前的apb的testcase拿出来跑的时候突然想到一个问题,我们通常使用`uvm_do_with(req,{req.addr==my_addr;req.direction==WRITE;req.data==my_data})来发送写传输,用`uvm_do_with(req,{req.addr==my_addr;req.direction==READ})来发送读传输。但是很多情况下,我们还需要知道读出来是什么值,对该值进行判断,然后才进行后续处理,比如:



  1. rdata=apb_read(addr);
  2. if(rdata==FF)
  3.     ....
  4. else
  5.     .....


复制代码

但是,使用了UVM的sequence中,该如何取得读的数据呢?
我在我的`uvm_do_with(req,{req.addr==my_addr;req.direction==READ})之后,加了一行“$display("addr=%h,data=%h",req.addr,req.data);"结果出来的地址是对的,data却一直是0。因此,我想问问大家,有什么办法来解决这个问题么?还是没办法?
发表于 2013-5-23 13:51:28 | 显示全部楼层
`uvm_do_with(req,{req.addr==my_addr;req.direction==READ})
执行这一行语句只是生成一笔读的请求,然后送给driver去发送。读的data此时并没有回来啊。相当于此时只是生成了req的addr,但是data需要driver自己去得到的吧。
LZ不妨把req的原型贴出来看看。
发表于 2013-5-23 14:07:41 | 显示全部楼层
读的结果只能从monitor中获得吧。你driver的transaction中不会有读回的data的。
发表于 2013-6-16 09:54:58 | 显示全部楼层
可以用 uvm _sequence #(REQ,RSP )  同时使用两个transition
 楼主| 发表于 2013-6-17 10:05:28 | 显示全部楼层
回复 4# xiantongma

那请问,REQ和RSP这两个transfer应该是相同的class类型吧?
发表于 2013-6-17 21:31:07 | 显示全部楼层




   by default, uvm_sequence就带这两个参数,是同一个类型的哦
发表于 2013-6-17 21:32:23 | 显示全部楼层
好像可以不同的。 你照着  REQ 在定义两外一个 RSP, 然后试一下。
发表于 2013-7-8 18:32:21 | 显示全部楼层
这里的读操作的响应是需要SQR发送完REQ给Driver,但是Driver需要在读操作结束时将读完毕的READ data作为有效数据内容写到RSP结构内的数据,这样,SQR以及上层的SEQ/Virt_SEQ可以获得准确的READ data。
发表于 2022-1-13 17:11:13 | 显示全部楼层
driver中put_response,sequence中get_response
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-20 19:46 , Processed in 0.026619 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表