在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6101|回复: 9

[求助] 如何使用Cadence的NCsim进行时序仿真(后仿真)?

[复制链接]
发表于 2013-2-24 22:48:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位,在进行后仿真的时候,使用Synopsys的VCS进行后仿真没有问题,但是在使用Cadence的NCsim进行后仿真的时候,运行起来仿真进程后,一直提示timing violation。

我的$sdf_annotate反标正确,没有问题。

请问有哪位朋友使用ncsim进行过后仿真吗?可否指点下,谢谢。

我在网上查到的NCsim关于SDF的命令,需要ncsdfc命令来对SDF文件进行编译。
 楼主| 发表于 2013-2-24 23:23:12 | 显示全部楼层
我进行的是wc的后仿真,故在ncelab 中已经加入:-MAXDELAYS +neg_tchk +sdf_verbose。

使用VCS进行wc的后仿真的时候,也是这几个选项,仿真运行正确,SDF也已经正确反标。

使用NCsim在进行后仿真的时候,已经产生了 aaa_bbb.sdf.X文件。
但是仿真进程运行起来后,timing violation一大堆。目前怀疑是NCsim和VCS两大仿真器对SDF文件的编译过程是不同的。我的NCsim仿真可能缺少一些选项。
发表于 2013-2-25 14:07:52 | 显示全部楼层
这两个仿真器是有可能结果不一样的, 特别是后仿.
有一个报错, 那就要去分析他的timing violation是不是真的violate了, 还是你的设置不多.
 楼主| 发表于 2013-2-25 14:42:16 | 显示全部楼层




  目前我的NCSIM后仿真加了如下设置:-MAXDELAYS +neg_tchk +sdf_verbose。
查看反标的log文件,只提示了两个管脚的反标路径不存在的问题,没有其他的问题。且这两个反标路径不存在的问题,是可以忽略的。

  还望赐教,NCSIM难道还有其他的设置?
发表于 2013-2-26 17:49:54 | 显示全部楼层
信息太少了, 没法debug. 建议你把报错的路径和log文件全部发给candence, 让他们帮你看看.
或者你贴出来, 让大家看看.
发表于 2013-6-10 08:59:48 | 显示全部楼层
:):):):)
发表于 2013-6-10 16:37:05 | 显示全部楼层
楼主问题解决了没有?我也遇到了和你一样的问题
发表于 2013-6-14 15:19:17 | 显示全部楼层
我觉得应该是sdf没有编译成功,把你仿真的命令换成ncverilog,
ncverilog=ncvlog+ncleb+ncsim
 楼主| 发表于 2013-6-17 09:50:39 | 显示全部楼层
回复 8# wendy.gg.yang


    你遇到过这个问题吗?你使用NCSIM可以进行后仿真吧?
发表于 2016-7-14 19:44:12 | 显示全部楼层
ajkhjhakjdkhfahfhafhkahd
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 22:09 , Processed in 0.020166 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表