在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5699|回复: 9

[求助] pt中set_operating_condition的问题

[复制链接]
发表于 2012-9-5 11:45:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我有3个库文件TT,SS,FF,将TT设为link_path.然后read_db读入SS,FF库文件;
set_operating_condition -max_lib SS -max SS -min_lib FF -min FF -analysis_type on_chip_variation;
之后report_timing ,出来的结果跟不设set_operating_condition差不多,而不是想象中的在计算max路径时,lanch的clockpath用SS的库计算,capture的clockpath用FF的库计算
另外单独link_path用SS库的话,report_timing出来的结果比上面慢很多
总结下来就是set_operating_condition -max_lib SS -max SS -min_lib FF -min FF 没用,这是我理解的问题还是工具设置的问题呢?
发表于 2012-9-5 13:55:34 | 显示全部楼层
加上-analysis_type on_chip_variation试试
 楼主| 发表于 2012-9-5 15:00:39 | 显示全部楼层



版大,已经加了这个选项,还是没效果啊.
有一个问题,在design link之后所有标准单元的参考库都是TT,是不是这个原因pt不能同时用SS,FF的库来做时序分析呢?
发表于 2012-9-5 15:27:35 | 显示全部楼层
发表于 2012-9-5 15:35:31 | 显示全部楼层
试一试:
set link_path  slow.db
set_min_library  slow.db  -min_version  fast.db
set_operating_conditions -analysis_type on_chip_variation -max slow  -min fast
发表于 2012-9-5 22:09:56 | 显示全部楼层
是你的脚本的问题,几个库没有设计对,虽然在operating_condition中加上了-max和min的库
但是需要多一个set_min_library,工具在分析某条路径的max delay的时候,此min_library去替换,计算hold time
 楼主| 发表于 2012-9-6 17:06:43 | 显示全部楼层


试一试:
set link_path  slow.db
set_min_library  slow.db  -min_version  fast.db
set_operating_co ...
magic14 发表于 2012-9-5 15:35



试了一下果然好使,感谢指导
发表于 2012-9-6 17:51:23 | 显示全部楼层
玩出来了,我来泼一盆冷水
STA时,一般不会“lanch的clockpath用SS的库计算,capture的clockpath用FF的库计算”
原因在以前的帖子里面讨论过
 楼主| 发表于 2012-9-6 23:19:01 | 显示全部楼层


玩出来了,我来泼一盆冷水
STA时,一般不会“lanch的clockpath用SS的库计算,capture的clockpath用FF的库计 ...
陈涛 发表于 2012-9-6 17:51




求地址,学习一下
发表于 2014-3-15 22:14:23 | 显示全部楼层
回复 9# mmcc123456


    版主有给地址吗?或者你找到了吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 23:28 , Processed in 0.021131 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表